bug-gnu-emacs
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

emacs-21.2 VHDL mode bug


From: Clancy, Robert
Subject: emacs-21.2 VHDL mode bug
Date: Fri, 28 Feb 2003 09:13:22 -0500

To whom it may concern,

Bug Summary: VHDL uncomment function does not work properly.

Further Details:

When editing a .vhd file the menu option VHDL -> COMMENT -> (UN) COMMENT OUT
REGION (C-c C-c) can be used to properly comment a region of text but
----------fails-------------- to properly uncomment a commented region (it
leaves one of the two leading dashes intact).


Robert Clancy
Sycamore Networks
Optical Access Division
95 Barnes Road
Wallingford, CT 06492
Phone: 203-294-8129

 <<Clancy, Robert.vcf>> 

Attachment: Clancy, Robert.vcf
Description: Binary data


reply via email to

[Prev in Thread] Current Thread [Next in Thread]