commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] r4715 - in gnuradio/branches/features/inband-usb: conf


From: eb
Subject: [Commit-gnuradio] r4715 - in gnuradio/branches/features/inband-usb: config gr-qtgui usrp/fpga usrp/fpga/sdr_lib usrp/fpga/toplevel usrp/fpga/toplevel/include usrp/fpga/toplevel/usrp_multi usrp/fpga/toplevel/usrp_std
Date: Sun, 4 Mar 2007 22:15:02 -0700 (MST)

Author: eb
Date: 2007-03-04 22:15:01 -0700 (Sun, 04 Mar 2007)
New Revision: 4715

Added:
   gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/include/
   
gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/include/common_config_1rxhb_1tx.vh
   
gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/include/common_config_2rx_0tx.vh
   
gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/include/common_config_2rxhb_0tx.vh
   
gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/include/common_config_2rxhb_2tx.vh
   
gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/include/common_config_4rx_0tx.vh
   
gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/include/common_config_bottom.vh
   gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/usrp_multi/config.vh
   gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/usrp_std/config.vh
Removed:
   
gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/include/common_config_1rxhb_1tx.vh
   
gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/include/common_config_2rx_0tx.vh
   
gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/include/common_config_2rxhb_0tx.vh
   
gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/include/common_config_2rxhb_2tx.vh
   
gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/include/common_config_4rx_0tx.vh
   
gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/include/common_config_bottom.vh
   
gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/usrp_multi/usrp_multi.vh
   
gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/usrp_multi/usrp_multi_config_2rx_0tx.vh
   
gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/usrp_multi/usrp_multi_config_2rxhb_0tx.vh
   
gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/usrp_multi/usrp_multi_config_2rxhb_2tx.vh
   
gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/usrp_multi/usrp_multi_config_4rx_0tx.vh
   
gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/usrp_multi/usrp_std.vh
   gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/usrp_std/usrp_std.vh
   
gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/usrp_std/usrp_std_config_1rxhb_1tx.vh
   
gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/usrp_std/usrp_std_config_2rxhb_2tx.vh
   
gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/usrp_std/usrp_std_config_4rx_0tx.vh
Modified:
   gnuradio/branches/features/inband-usb/config/grc_gr_qtgui.m4
   gnuradio/branches/features/inband-usb/gr-qtgui/README
   gnuradio/branches/features/inband-usb/usrp/fpga/Makefile.extra
   
gnuradio/branches/features/inband-usb/usrp/fpga/sdr_lib/master_control_multi.v
   gnuradio/branches/features/inband-usb/usrp/fpga/sdr_lib/rx_chain.v
   
gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/usrp_multi/usrp_multi.qsf
   
gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/usrp_multi/usrp_multi.v
   
gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/usrp_std/usrp_std.qsf
   gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/usrp_std/usrp_std.v
Log:
Merged 4697:4714 from trunk into inband-usb branch.


Modified: gnuradio/branches/features/inband-usb/config/grc_gr_qtgui.m4
===================================================================
--- gnuradio/branches/features/inband-usb/config/grc_gr_qtgui.m4        
2007-03-05 05:10:32 UTC (rev 4714)
+++ gnuradio/branches/features/inband-usb/config/grc_gr_qtgui.m4        
2007-03-05 05:15:01 UTC (rev 4715)
@@ -36,11 +36,12 @@
        [passed=no;AC_MSG_RESULT([gr-qtgui requires qt, not found. Checking for 
qt-mt])])
     fi
 
-    AC_HAVE_LIBRARY(qwt, [],
-       [passed=no;AC_MSG_RESULT([gr-qtgui requires qwt, not found.])])
+    PKG_CHECK_MODULES(qwt, qwt >= 5.0,[],
+    [passed=no;AC_MSG_RESULT([gr-qtgui requires qwt, not found. Checking for 
qt-mt])])
 
     
     GRC_BUILD_CONDITIONAL([gr-qtgui], [
     AC_SUBST(QT_LIBS)
+    AC_SUBST(QWT_CFLAGS)
     AC_SUBST(QWT_LIBS)])
 ])

Modified: gnuradio/branches/features/inband-usb/gr-qtgui/README
===================================================================
--- gnuradio/branches/features/inband-usb/gr-qtgui/README       2007-03-05 
05:10:32 UTC (rev 4714)
+++ gnuradio/branches/features/inband-usb/gr-qtgui/README       2007-03-05 
05:15:01 UTC (rev 4715)
@@ -35,19 +35,23 @@
 and make the INSTALLBASE = /usr/local
 
 
+
 run 
 
 make
 sudo make install
 
-and qwt will be loaded into /usr/local/lib and the includes will be in
-/usr/local/include
+edit qwt.pc and change the prefix to be /usr/local and then install this file 
in /usr/local/lib/pkgconfig
 
-gr-qtgui will now make.
 
+qwt will be loaded into /usr/local/lib and the includes will be in 
/usr/local/include,  qwt.pc will cause all of the
+necessary flags to be including in the make files.
 
+gr-qtgui will now make. 
 
 
+
+
 which must be run as root (as in sudo) so that files are
 installed in the proper place for the gnuradio build
 (such as qwt.pc).  

Modified: gnuradio/branches/features/inband-usb/usrp/fpga/Makefile.extra
===================================================================
--- gnuradio/branches/features/inband-usb/usrp/fpga/Makefile.extra      
2007-03-05 05:10:32 UTC (rev 4714)
+++ gnuradio/branches/features/inband-usb/usrp/fpga/Makefile.extra      
2007-03-05 05:15:01 UTC (rev 4715)
@@ -133,18 +133,11 @@
        toplevel/usrp_multi/usrp_multi.qpf             \
        toplevel/usrp_multi/usrp_multi.qsf             \
        toplevel/usrp_multi/usrp_multi.v               \
-       toplevel/usrp_multi/usrp_multi.vh              \
-       toplevel/usrp_multi/usrp_multi_config_2rx_0tx.vh   \
-       toplevel/usrp_multi/usrp_multi_config_2rxhb_0tx.vh \
-       toplevel/usrp_multi/usrp_multi_config_2rxhb_2tx.vh \
-       toplevel/usrp_multi/usrp_multi_config_4rx_0tx.vh   \
-       toplevel/usrp_multi/usrp_std.vh                \
+       toplevel/usrp_multi/config.vh                  \
        toplevel/usrp_std/usrp_std.csf                 \
        toplevel/usrp_std/usrp_std.esf                 \
        toplevel/usrp_std/usrp_std.psf                 \
        toplevel/usrp_std/usrp_std.qpf                 \
        toplevel/usrp_std/usrp_std.qsf                 \
        toplevel/usrp_std/usrp_std.v                   \
-       toplevel/usrp_std/usrp_std.vh                  \
-       toplevel/usrp_std/usrp_std_config_2rxhb_2tx.vh \
-       toplevel/usrp_std/usrp_std_config_4rx_0tx.vh
+       toplevel/usrp_std/config.vh                    

Modified: 
gnuradio/branches/features/inband-usb/usrp/fpga/sdr_lib/master_control_multi.v
===================================================================
--- 
gnuradio/branches/features/inband-usb/usrp/fpga/sdr_lib/master_control_multi.v  
    2007-03-05 05:10:32 UTC (rev 4714)
+++ 
gnuradio/branches/features/inband-usb/usrp/fpga/sdr_lib/master_control_multi.v  
    2007-03-05 05:15:01 UTC (rev 4715)
@@ -18,7 +18,7 @@
 //  along with this program; if not, write to the Free Software
 //  Foundation, Inc., 51 Franklin Street, Boston, MA  02110-1301  USA
 //
-`include "usrp_multi.vh"
+`include "config.vh"
 `include "../../../firmware/include/fpga_regs_common.v"
 `include "../../../firmware/include/fpga_regs_standard.v"
 // Clock, enable, and reset controls for whole system

Modified: gnuradio/branches/features/inband-usb/usrp/fpga/sdr_lib/rx_chain.v
===================================================================
--- gnuradio/branches/features/inband-usb/usrp/fpga/sdr_lib/rx_chain.v  
2007-03-05 05:10:32 UTC (rev 4714)
+++ gnuradio/branches/features/inband-usb/usrp/fpga/sdr_lib/rx_chain.v  
2007-03-05 05:15:01 UTC (rev 4715)
@@ -21,7 +21,8 @@
 
 // Following defines conditionally include RX path circuitry
 
-`include "usrp_std.vh"
+`include "config.vh"   // resolved relative to project root
+
 module rx_chain
   (input clock,
    input reset,

Copied: gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/include (from 
rev 4714, gnuradio/trunk/usrp/fpga/toplevel/include)

Deleted: 
gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/include/common_config_1rxhb_1tx.vh

Copied: 
gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/include/common_config_1rxhb_1tx.vh
 (from rev 4714, 
gnuradio/trunk/usrp/fpga/toplevel/include/common_config_1rxhb_1tx.vh)
===================================================================
--- 
gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/include/common_config_1rxhb_1tx.vh
                         (rev 0)
+++ 
gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/include/common_config_1rxhb_1tx.vh
 2007-03-05 05:15:01 UTC (rev 4715)
@@ -0,0 +1,61 @@
+// -*- verilog -*-
+//
+//  USRP - Universal Software Radio Peripheral
+//
+//  Copyright (C) 2006 Matt Ettus
+//
+//  This program is free software; you can redistribute it and/or modify
+//  it under the terms of the GNU General Public License as published by
+//  the Free Software Foundation; either version 2 of the License, or
+//  (at your option) any later version.
+//
+//  This program is distributed in the hope that it will be useful,
+//  but WITHOUT ANY WARRANTY; without even the implied warranty of
+//  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+//  GNU General Public License for more details.
+//
+//  You should have received a copy of the GNU General Public License
+//  along with this program; if not, write to the Free Software
+//  Foundation, Inc., 51 Franklin Street, Boston, MA  02110-1301  USA
+//
+
+// ------------------------------------------------------------
+// If TX_ON is not defined, there is *no* transmit circuitry built
+  `define TX_ON
+
+// ------------------------------------------------------------
+// Define 1 and only one of TX_SINGLE, TX_DUAL and TX_QUAD
+// to respectively enable 1, 2 or 4 transmit channels.
+// [Please note that only TX_SINGLE and TX_DUAL are currently valid]
+  `define TX_SINGLE
+//`define TX_DUAL
+//`define TX_QUAD
+
+// ------------------------------------------------------------
+// Define TX_HB_ON to enable the transmit halfband filter
+// [Not implemented]
+//`define TX_HB_ON
+
+// ------------------------------------------------------------
+// IF RX_ON is not defined, there is *no* receive circuitry built
+  `define RX_ON
+
+// ------------------------------------------------------------
+// Define 1 and only one of RX_SINGLE, RX_DUAL and RX_QUAD
+// to respectively define 1, 2 or 4 receive channels.
+
+  `define RX_SINGLE
+//`define RX_DUAL
+//`define RX_QUAD
+
+// ------------------------------------------------------------
+// Define RX_HB_ON to enable the receive halfband filter
+  `define RX_HB_ON
+
+// ------------------------------------------------------------
+// Define RX_NCO_ON to enable the receive Numerical Controlled Osc
+  `define RX_NCO_ON
+
+// ------------------------------------------------------------
+// Define RX_CIC_ON to enable the receive Cascaded Integrator Comb filter
+  `define RX_CIC_ON

Deleted: 
gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/include/common_config_2rx_0tx.vh

Copied: 
gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/include/common_config_2rx_0tx.vh
 (from rev 4714, 
gnuradio/trunk/usrp/fpga/toplevel/include/common_config_2rx_0tx.vh)
===================================================================
--- 
gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/include/common_config_2rx_0tx.vh
                           (rev 0)
+++ 
gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/include/common_config_2rx_0tx.vh
   2007-03-05 05:15:01 UTC (rev 4715)
@@ -0,0 +1,61 @@
+// -*- verilog -*-
+//
+//  USRP - Universal Software Radio Peripheral
+//
+//  Copyright (C) 2006 Matt Ettus
+//  Copyright (C) 2006 Martin Dudok van Heel
+//
+//  This program is free software; you can redistribute it and/or modify
+//  it under the terms of the GNU General Public License as published by
+//  the Free Software Foundation; either version 2 of the License, or
+//  (at your option) any later version.
+//
+//  This program is distributed in the hope that it will be useful,
+//  but WITHOUT ANY WARRANTY; without even the implied warranty of
+//  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+//  GNU General Public License for more details.
+//
+//  You should have received a copy of the GNU General Public License
+//  along with this program; if not, write to the Free Software
+//  Foundation, Inc., 51 Franklin Street, Boston, MA  02110-1301  USA
+//
+// ------------------------------------------------------------
+// If TX_ON is not defined, there is *no* transmit circuitry built
+// `define TX_ON
+
+// ------------------------------------------------------------
+// Define 1 and only one of TX_SINGLE, TX_DUAL and TX_QUAD
+// to respectively enable 1, 2 or 4 transmit channels.
+// [Please note that only TX_SINGLE and TX_DUAL are currently valid]
+//`define TX_SINGLE
+//`define TX_DUAL
+//`define TX_QUAD
+
+// ------------------------------------------------------------
+// Define TX_HB_ON to enable the transmit halfband filter
+// [Not implemented]
+//`define TX_HB_ON
+
+// ------------------------------------------------------------
+// IF RX_ON is not defined, there is *no* receive circuitry built
+  `define RX_ON
+
+// ------------------------------------------------------------
+// Define 1 and only one of RX_SINGLE, RX_DUAL and RX_QUAD
+// to respectively define 1, 2 or 4 receive channels.
+
+//`define RX_SINGLE
+`define RX_DUAL
+//`define RX_QUAD
+
+// ------------------------------------------------------------
+// Define RX_HB_ON to enable the receive halfband filter
+//`define RX_HB_ON
+
+// ------------------------------------------------------------
+// Define RX_NCO_ON to enable the receive Numerical Controlled Osc
+  `define RX_NCO_ON
+
+// ------------------------------------------------------------
+// Define RX_CIC_ON to enable the receive Cascaded Integrator Comb filter
+  `define RX_CIC_ON

Deleted: 
gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/include/common_config_2rxhb_0tx.vh

Copied: 
gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/include/common_config_2rxhb_0tx.vh
 (from rev 4714, 
gnuradio/trunk/usrp/fpga/toplevel/include/common_config_2rxhb_0tx.vh)
===================================================================
--- 
gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/include/common_config_2rxhb_0tx.vh
                         (rev 0)
+++ 
gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/include/common_config_2rxhb_0tx.vh
 2007-03-05 05:15:01 UTC (rev 4715)
@@ -0,0 +1,61 @@
+// -*- verilog -*-
+//
+//  USRP - Universal Software Radio Peripheral
+//
+//  Copyright (C) 2006 Matt Ettus
+//  Copyright (C) 2006 Martin Dudok van Heel
+//
+//  This program is free software; you can redistribute it and/or modify
+//  it under the terms of the GNU General Public License as published by
+//  the Free Software Foundation; either version 2 of the License, or
+//  (at your option) any later version.
+//
+//  This program is distributed in the hope that it will be useful,
+//  but WITHOUT ANY WARRANTY; without even the implied warranty of
+//  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+//  GNU General Public License for more details.
+//
+//  You should have received a copy of the GNU General Public License
+//  along with this program; if not, write to the Free Software
+//  Foundation, Inc., 51 Franklin Street, Boston, MA  02110-1301  USA
+//
+// ------------------------------------------------------------
+// If TX_ON is not defined, there is *no* transmit circuitry built
+// `define TX_ON
+
+// ------------------------------------------------------------
+// Define 1 and only one of TX_SINGLE, TX_DUAL and TX_QUAD
+// to respectively enable 1, 2 or 4 transmit channels.
+// [Please note that only TX_SINGLE and TX_DUAL are currently valid]
+//`define TX_SINGLE
+//`define TX_DUAL
+//`define TX_QUAD
+
+// ------------------------------------------------------------
+// Define TX_HB_ON to enable the transmit halfband filter
+// [Not implemented]
+//`define TX_HB_ON
+
+// ------------------------------------------------------------
+// IF RX_ON is not defined, there is *no* transmit circuitry built
+  `define RX_ON
+
+// ------------------------------------------------------------
+// Define 1 and only one of RX_SINGLE, RX_DUAL and RX_QUAD
+// to respectively define 1, 2 or 4 receive channels.
+
+//`define RX_SINGLE
+  `define RX_DUAL
+//`define RX_QUAD
+
+// ------------------------------------------------------------
+// Define RX_HB_ON to enable the receive halfband filter
+  `define RX_HB_ON
+
+// ------------------------------------------------------------
+// Define RX_NCO_ON to enable the receive Numerical Controlled Osc
+  `define RX_NCO_ON
+
+// ------------------------------------------------------------
+// Define RX_CIC_ON to enable the receive Cascaded Integrator Comb filter
+  `define RX_CIC_ON

Deleted: 
gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/include/common_config_2rxhb_2tx.vh

Copied: 
gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/include/common_config_2rxhb_2tx.vh
 (from rev 4714, 
gnuradio/trunk/usrp/fpga/toplevel/include/common_config_2rxhb_2tx.vh)
===================================================================
--- 
gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/include/common_config_2rxhb_2tx.vh
                         (rev 0)
+++ 
gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/include/common_config_2rxhb_2tx.vh
 2007-03-05 05:15:01 UTC (rev 4715)
@@ -0,0 +1,61 @@
+// -*- verilog -*-
+//
+//  USRP - Universal Software Radio Peripheral
+//
+//  Copyright (C) 2006 Matt Ettus
+//
+//  This program is free software; you can redistribute it and/or modify
+//  it under the terms of the GNU General Public License as published by
+//  the Free Software Foundation; either version 2 of the License, or
+//  (at your option) any later version.
+//
+//  This program is distributed in the hope that it will be useful,
+//  but WITHOUT ANY WARRANTY; without even the implied warranty of
+//  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+//  GNU General Public License for more details.
+//
+//  You should have received a copy of the GNU General Public License
+//  along with this program; if not, write to the Free Software
+//  Foundation, Inc., 51 Franklin Street, Boston, MA  02110-1301  USA
+//
+
+// ------------------------------------------------------------
+// If TX_ON is not defined, there is *no* transmit circuitry built
+  `define TX_ON
+
+// ------------------------------------------------------------
+// Define 1 and only one of TX_SINGLE, TX_DUAL and TX_QUAD
+// to respectively enable 1, 2 or 4 transmit channels.
+// [Please note that only TX_SINGLE and TX_DUAL are currently valid]
+//`define TX_SINGLE
+  `define TX_DUAL
+//`define TX_QUAD
+
+// ------------------------------------------------------------
+// Define TX_HB_ON to enable the transmit halfband filter
+// [Not implemented]
+//`define TX_HB_ON
+
+// ------------------------------------------------------------
+// IF RX_ON is not defined, there is *no* receive circuitry built
+  `define RX_ON
+
+// ------------------------------------------------------------
+// Define 1 and only one of RX_SINGLE, RX_DUAL and RX_QUAD
+// to respectively define 1, 2 or 4 receive channels.
+
+//`define RX_SINGLE
+  `define RX_DUAL
+//`define RX_QUAD
+
+// ------------------------------------------------------------
+// Define RX_HB_ON to enable the receive halfband filter
+  `define RX_HB_ON
+
+// ------------------------------------------------------------
+// Define RX_NCO_ON to enable the receive Numerical Controlled Osc
+  `define RX_NCO_ON
+
+// ------------------------------------------------------------
+// Define RX_CIC_ON to enable the receive Cascaded Integrator Comb filter
+  `define RX_CIC_ON

Deleted: 
gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/include/common_config_4rx_0tx.vh

Copied: 
gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/include/common_config_4rx_0tx.vh
 (from rev 4714, 
gnuradio/trunk/usrp/fpga/toplevel/include/common_config_4rx_0tx.vh)
===================================================================
--- 
gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/include/common_config_4rx_0tx.vh
                           (rev 0)
+++ 
gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/include/common_config_4rx_0tx.vh
   2007-03-05 05:15:01 UTC (rev 4715)
@@ -0,0 +1,61 @@
+// -*- verilog -*-
+//
+//  USRP - Universal Software Radio Peripheral
+//
+//  Copyright (C) 2006 Matt Ettus
+//
+//  This program is free software; you can redistribute it and/or modify
+//  it under the terms of the GNU General Public License as published by
+//  the Free Software Foundation; either version 2 of the License, or
+//  (at your option) any later version.
+//
+//  This program is distributed in the hope that it will be useful,
+//  but WITHOUT ANY WARRANTY; without even the implied warranty of
+//  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+//  GNU General Public License for more details.
+//
+//  You should have received a copy of the GNU General Public License
+//  along with this program; if not, write to the Free Software
+//  Foundation, Inc., 51 Franklin Street, Boston, MA  02110-1301  USA
+//
+
+// ------------------------------------------------------------
+// If TX_ON is not defined, there is *no* transmit circuitry built
+// `define TX_ON
+
+// ------------------------------------------------------------
+// Define 1 and only one of TX_SINGLE, TX_DUAL and TX_QUAD
+// to respectively enable 1, 2 or 4 transmit channels.
+// [Please note that only TX_SINGLE and TX_DUAL are currently valid]
+//`define TX_SINGLE
+//`define TX_DUAL
+//`define TX_QUAD
+
+// ------------------------------------------------------------
+// Define TX_HB_ON to enable the transmit halfband filter
+// [Not implemented]
+//`define TX_HB_ON
+
+// ------------------------------------------------------------
+// IF RX_ON is not defined, there is *no* receive circuitry built
+  `define RX_ON
+
+// ------------------------------------------------------------
+// Define 1 and only one of RX_SINGLE, RX_DUAL and RX_QUAD
+// to respectively define 1, 2 or 4 receive channels.
+
+//`define RX_SINGLE
+//`define RX_DUAL
+  `define RX_QUAD
+
+// ------------------------------------------------------------
+// Define RX_HB_ON to enable the receive halfband filter
+//`define RX_HB_ON
+
+// ------------------------------------------------------------
+// Define RX_NCO_ON to enable the receive Numerical Controlled Osc
+  `define RX_NCO_ON
+
+// ------------------------------------------------------------
+// Define RX_CIC_ON to enable the receive Cascaded Integrator Comb filter
+  `define RX_CIC_ON

Deleted: 
gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/include/common_config_bottom.vh

Copied: 
gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/include/common_config_bottom.vh
 (from rev 4714, 
gnuradio/trunk/usrp/fpga/toplevel/include/common_config_bottom.vh)
===================================================================
--- 
gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/include/common_config_bottom.vh
                            (rev 0)
+++ 
gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/include/common_config_bottom.vh
    2007-03-05 05:15:01 UTC (rev 4715)
@@ -0,0 +1,104 @@
+// -*- verilog -*-
+//
+//  USRP - Universal Software Radio Peripheral
+//
+//  Copyright (C) 2006,2007 Matt Ettus
+//
+//  This program is free software; you can redistribute it and/or modify
+//  it under the terms of the GNU General Public License as published by
+//  the Free Software Foundation; either version 2 of the License, or
+//  (at your option) any later version.
+//
+//  This program is distributed in the hope that it will be useful,
+//  but WITHOUT ANY WARRANTY; without even the implied warranty of
+//  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+//  GNU General Public License for more details.
+//
+//  You should have received a copy of the GNU General Public License
+//  along with this program; if not, write to the Free Software
+//  Foundation, Inc., 51 Franklin Street, Boston, MA  02110-1301  USA
+//
+
+// ====================================================================
+//        This is the common tail for standard configuation
+// ====================================================================
+// 
+//                  >>>> DO NOT EDIT BELOW HERE <<<<
+//
+// N.B., *all* the remainder of the code should be conditionalized
+// only in terms of:
+//
+//  TX_ON, TX_EN_0, TX_EN_1, TX_EN_2, TX_EN_3, TX_CAP_NCHAN, TX_CAP_HB,
+//  RX_ON, RX_EN_0, RX_EN_1, RX_EN_2, RX_EN_3, RX_CAP_NCHAN, RX_CAP_HB,
+//  RX_NCO_ON, RX_CIC_ON
+// ====================================================================
+
+`ifdef TX_ON
+
+ `ifdef TX_SINGLE
+  `define TX_EN_0
+  `define TX_CAP_NCHAN 3'd1
+ `endif
+
+ `ifdef TX_DUAL
+  `define TX_EN_0
+  `define TX_EN_1
+  `define TX_CAP_NCHAN 3'd2
+ `endif
+
+ `ifdef TX_QUAD
+  `define TX_EN_0
+  `define TX_EN_1
+  `define TX_EN_2
+  `define TX_EN_3
+  `define TX_CAP_NCHAN 3'd4
+ `endif
+
+ `ifdef TX_HB_ON
+  `define TX_CAP_HB   1
+ `else
+  `define TX_CAP_HB   0
+ `endif
+
+`else  // !ifdef TX_ON
+
+ `define TX_CAP_NCHAN 3'd0
+ `define TX_CAP_HB 0
+
+`endif // !ifdef TX_ON
+
+// --------------------------------------------------------------------
+
+`ifdef RX_ON
+
+ `ifdef RX_SINGLE
+  `define RX_EN_0
+  `define RX_CAP_NCHAN 3'd1
+ `endif
+
+ `ifdef RX_DUAL
+  `define RX_EN_0
+  `define RX_EN_1
+  `define RX_CAP_NCHAN 3'd2
+ `endif
+
+ `ifdef RX_QUAD
+  `define RX_EN_0
+  `define RX_EN_1
+  `define RX_EN_2
+  `define RX_EN_3
+  `define RX_CAP_NCHAN 3'd4
+ `endif
+
+ `ifdef RX_HB_ON
+  `define RX_CAP_HB   1
+ `else
+  `define RX_CAP_HB   0
+ `endif
+
+`else  // !ifdef RX_ON
+
+ `define RX_CAP_NCHAN 3'd0
+ `define RX_CAP_HB 0
+
+`endif // !ifdef RX_ON

Copied: 
gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/usrp_multi/config.vh 
(from rev 4714, gnuradio/trunk/usrp/fpga/toplevel/usrp_multi/config.vh)
===================================================================
--- 
gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/usrp_multi/config.vh   
                            (rev 0)
+++ 
gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/usrp_multi/config.vh   
    2007-03-05 05:15:01 UTC (rev 4715)
@@ -0,0 +1,62 @@
+// -*- verilog -*-
+//
+//  USRP - Universal Software Radio Peripheral
+//
+//  Copyright (C) 2006,2007 Matt Ettus
+//  Copyright (C) 2006 Martin Dudok van Heel
+//
+//  This program is free software; you can redistribute it and/or modify
+//  it under the terms of the GNU General Public License as published by
+//  the Free Software Foundation; either version 2 of the License, or
+//  (at your option) any later version.
+//
+//  This program is distributed in the hope that it will be useful,
+//  but WITHOUT ANY WARRANTY; without even the implied warranty of
+//  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+//  GNU General Public License for more details.
+//
+//  You should have received a copy of the GNU General Public License
+//  along with this program; if not, write to the Free Software
+//  Foundation, Inc., 51 Franklin Street, Boston, MA  02110-1301  USA
+//
+
+// ====================================================================
+//            User control over what parts get included
+//
+//                  >>>> EDIT ONLY THIS SECTION <<<<
+//                  Uncomment only ONE configuration
+// ====================================================================
+
+// ====================================================================
+// FIXME drive configuration selection from the command line and/or gui
+// ====================================================================
+
+`define MULTI_ON               // enable multi usrp configuration
+
+// Uncomment this for 1 rx channel (w/ halfband) & 1 transmit channel
+//`include "../include/common_config_1rxhb_1tx.vh"
+
+// Uncomment this for multi with 2 rx channels (w/ halfband) & 2 transmit 
channels
+`include "../include/common_config_2rxhb_2tx.vh"
+
+// Uncomment this for multi with 4 rx channels (w/o halfband) & 0 transmit 
channels
+//`include "../include/common_config_4rx_0tx.vh"
+
+// Uncomment this for multi with 2 rx channels (w/ halfband) & 0 transmit 
channels
+//`include "../include/common_config_2rxhb_0tx.vh"
+
+// Uncomment this for multi with 2 rx channels (w/o halfband) & 0 transmit 
channels
+//`include "../include/common_config_2rx_0tx.vh"
+
+
+// Add other "known to fit" configurations here...
+
+// ====================================================================
+//  Now include the common footer
+// ====================================================================
+
+`ifdef MULTI_ON
+  `define COUNTER_32BIT_ON
+`endif
+
+`include "../include/common_config_bottom.vh"

Modified: 
gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/usrp_multi/usrp_multi.qsf
===================================================================
--- 
gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/usrp_multi/usrp_multi.qsf
  2007-03-05 05:10:32 UTC (rev 4714)
+++ 
gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/usrp_multi/usrp_multi.qsf
  2007-03-05 05:15:01 UTC (rev 4715)
@@ -27,7 +27,7 @@
 # ========================
 set_global_assignment -name ORIGINAL_QUARTUS_VERSION 3.0
 set_global_assignment -name PROJECT_CREATION_TIME_DATE "00:14:04  JULY 13, 
2003"
-set_global_assignment -name LAST_QUARTUS_VERSION "5.1 SP1"
+set_global_assignment -name LAST_QUARTUS_VERSION 6.1
 
 # Pin & Location Assignments
 # ==========================
@@ -223,7 +223,7 @@
 set_global_assignment -name TOP_LEVEL_ENTITY usrp_multi
 set_global_assignment -name VHDL_SHOW_LMF_MAPPING_MESSAGES OFF
 set_global_assignment -name USER_LIBRARIES "H:\\usrp-for2.7\\fpga\\megacells"
-set_global_assignment -name AUTO_ENABLE_SMART_COMPILE On
+set_global_assignment -name AUTO_ENABLE_SMART_COMPILE ON
 
 # Fitter Assignments
 # ==================
@@ -314,7 +314,7 @@
 # ========================
 set_global_assignment -name HUB_ENTITY_NAME SLD_HUB
 set_global_assignment -name HUB_INSTANCE_NAME SLD_HUB_INST
-set_global_assignment -name ENABLE_SIGNALTAP Off
+set_global_assignment -name ENABLE_SIGNALTAP OFF
 
 # LogicLock Region Assignments
 # ============================
@@ -326,8 +326,8 @@
        # Timing Assignments
        # ==================
 set_global_assignment -name DUTY_CYCLE 50 -section_id SCLK
-       set_global_assignment -name FMAX_REQUIREMENT "1.0 MHz" -section_id SCLK
-       set_global_assignment -name 
INCLUDE_EXTERNAL_PIN_DELAYS_IN_FMAX_CALCULATIONS OFF -section_id SCLK
+set_global_assignment -name FMAX_REQUIREMENT "1 MHz" -section_id SCLK
+set_global_assignment -name INCLUDE_EXTERNAL_PIN_DELAYS_IN_FMAX_CALCULATIONS 
OFF -section_id SCLK
 
 # end CLOCK(SCLK)
 # ---------------
@@ -338,8 +338,8 @@
        # Timing Assignments
        # ==================
 set_global_assignment -name DUTY_CYCLE 50 -section_id master_clk
-       set_global_assignment -name FMAX_REQUIREMENT "64.0 MHz" -section_id 
master_clk
-       set_global_assignment -name 
INCLUDE_EXTERNAL_PIN_DELAYS_IN_FMAX_CALCULATIONS OFF -section_id master_clk
+set_global_assignment -name FMAX_REQUIREMENT "64 MHz" -section_id master_clk
+set_global_assignment -name INCLUDE_EXTERNAL_PIN_DELAYS_IN_FMAX_CALCULATIONS 
OFF -section_id master_clk
 
 # end CLOCK(master_clk)
 # ---------------------
@@ -350,8 +350,8 @@
        # Timing Assignments
        # ==================
 set_global_assignment -name DUTY_CYCLE 50 -section_id usbclk
-       set_global_assignment -name FMAX_REQUIREMENT "48.0 MHz" -section_id 
usbclk
-       set_global_assignment -name 
INCLUDE_EXTERNAL_PIN_DELAYS_IN_FMAX_CALCULATIONS OFF -section_id usbclk
+set_global_assignment -name FMAX_REQUIREMENT "48 MHz" -section_id usbclk
+set_global_assignment -name INCLUDE_EXTERNAL_PIN_DELAYS_IN_FMAX_CALCULATIONS 
OFF -section_id usbclk
 
 # end CLOCK(usbclk)
 # -----------------
@@ -361,18 +361,18 @@
 
        # Timing Assignments
        # ==================
-       set_instance_assignment -name CLOCK_SETTINGS SCLK -to SCLK
-       set_instance_assignment -name CLOCK_SETTINGS usbclk -to usbclk
-       set_instance_assignment -name CLOCK_SETTINGS master_clk -to master_clk
+set_instance_assignment -name CLOCK_SETTINGS SCLK -to SCLK
+set_instance_assignment -name CLOCK_SETTINGS usbclk -to usbclk
+set_instance_assignment -name CLOCK_SETTINGS master_clk -to master_clk
 
 # end ENTITY(usrp_multi)
 # --------------------
 
 
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/rssi.v
 set_global_assignment -name VERILOG_FILE ../../sdr_lib/setting_reg_masked.v
 set_global_assignment -name VERILOG_FILE ../../sdr_lib/master_control_multi.v
 set_global_assignment -name VERILOG_FILE ../../sdr_lib/ram16.v
-set_global_assignment -name VERILOG_FILE usrp_multi.vh
 set_global_assignment -name VERILOG_FILE ../../megacells/fifo_4k.v
 set_global_assignment -name VERILOG_FILE ../../sdr_lib/hb/acc.v
 set_global_assignment -name VERILOG_FILE ../../sdr_lib/hb/mult.v

Modified: 
gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/usrp_multi/usrp_multi.v
===================================================================
--- 
gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/usrp_multi/usrp_multi.v
    2007-03-05 05:10:32 UTC (rev 4714)
+++ 
gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/usrp_multi/usrp_multi.v
    2007-03-05 05:15:01 UTC (rev 4715)
@@ -28,7 +28,7 @@
 
 // Uncomment the following to include optional circuitry
 
-`include "usrp_multi.vh"
+`include "config.vh"
 `include "../../../firmware/include/fpga_regs_common.v"
 `include "../../../firmware/include/fpga_regs_standard.v"
 

Deleted: 
gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/usrp_multi/usrp_multi.vh

Deleted: 
gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/usrp_multi/usrp_multi_config_2rx_0tx.vh

Deleted: 
gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/usrp_multi/usrp_multi_config_2rxhb_0tx.vh

Deleted: 
gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/usrp_multi/usrp_multi_config_2rxhb_2tx.vh

Deleted: 
gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/usrp_multi/usrp_multi_config_4rx_0tx.vh

Deleted: 
gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/usrp_multi/usrp_std.vh

Copied: 
gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/usrp_std/config.vh 
(from rev 4714, gnuradio/trunk/usrp/fpga/toplevel/usrp_std/config.vh)
===================================================================
--- gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/usrp_std/config.vh 
                        (rev 0)
+++ gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/usrp_std/config.vh 
2007-03-05 05:15:01 UTC (rev 4715)
@@ -0,0 +1,53 @@
+// -*- verilog -*-
+//
+//  USRP - Universal Software Radio Peripheral
+//
+//  Copyright (C) 2006,2007 Matt Ettus
+//
+//  This program is free software; you can redistribute it and/or modify
+//  it under the terms of the GNU General Public License as published by
+//  the Free Software Foundation; either version 2 of the License, or
+//  (at your option) any later version.
+//
+//  This program is distributed in the hope that it will be useful,
+//  but WITHOUT ANY WARRANTY; without even the implied warranty of
+//  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+//  GNU General Public License for more details.
+//
+//  You should have received a copy of the GNU General Public License
+//  along with this program; if not, write to the Free Software
+//  Foundation, Inc., 51 Franklin Street, Boston, MA  02110-1301  USA
+//
+
+// ====================================================================
+//            User control over what parts get included
+//
+//                  >>>> EDIT ONLY THIS SECTION <<<<
+//                  Uncomment only ONE configuration
+// ====================================================================
+
+// ====================================================================
+// FIXME drive configuration selection from the command line and/or gui
+// ====================================================================
+
+// Uncomment this for 1 rx channel (w/ halfband) & 1 transmit channel
+//`include "../include/common_config_1rxhb_1tx.vh"
+
+// Uncomment this for 2 rx channels (w/ halfband) & 2 transmit channels
+  `include "../include/common_config_2rxhb_2tx.vh"
+
+// Uncomment this for 4 rx channels (w/o halfband) & 0 transmit channels
+//`include "../include/common_config_4rx_0tx.vh"
+
+// Uncomment this for multi with 2 rx channels (w/ halfband) & 0 transmit 
channels
+//`include "../include/common_config_2rxhb_0tx.vh"
+
+// Uncomment this for multi with 2 rx channels (w/o halfband) & 0 transmit 
channels
+//`include "../include/common_config_2rx_0tx.vh"
+
+// Add other "known to fit" configurations here...
+
+// ====================================================================
+//  Now include the common footer
+// ====================================================================
+  `include "../include/common_config_bottom.vh"

Modified: 
gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/usrp_std/usrp_std.qsf
===================================================================
--- 
gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/usrp_std/usrp_std.qsf  
    2007-03-05 05:10:32 UTC (rev 4714)
+++ 
gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/usrp_std/usrp_std.qsf  
    2007-03-05 05:15:01 UTC (rev 4715)
@@ -370,7 +370,6 @@
 
 set_global_assignment -name VERILOG_FILE ../../sdr_lib/rssi.v
 set_global_assignment -name VERILOG_FILE ../../sdr_lib/ram16.v
-set_global_assignment -name VERILOG_FILE usrp_std.vh
 set_global_assignment -name VERILOG_FILE ../../megacells/fifo_4k.v
 set_global_assignment -name VERILOG_FILE ../../sdr_lib/hb/acc.v
 set_global_assignment -name VERILOG_FILE ../../sdr_lib/hb/mult.v

Modified: 
gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/usrp_std/usrp_std.v
===================================================================
--- 
gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/usrp_std/usrp_std.v    
    2007-03-05 05:10:32 UTC (rev 4714)
+++ 
gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/usrp_std/usrp_std.v    
    2007-03-05 05:15:01 UTC (rev 4715)
@@ -27,7 +27,7 @@
 
 // Uncomment the following to include optional circuitry
 
-`include "usrp_std.vh"
+`include "config.vh"
 `include "../../../firmware/include/fpga_regs_common.v"
 `include "../../../firmware/include/fpga_regs_standard.v"
 

Deleted: 
gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/usrp_std/usrp_std.vh

Deleted: 
gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/usrp_std/usrp_std_config_1rxhb_1tx.vh

Deleted: 
gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/usrp_std/usrp_std_config_2rxhb_2tx.vh

Deleted: 
gnuradio/branches/features/inband-usb/usrp/fpga/toplevel/usrp_std/usrp_std_config_4rx_0tx.vh





reply via email to

[Prev in Thread] Current Thread [Next in Thread]