commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] r4880 - gnuradio/branches/developers/jcorgan/sar-fe/gr


From: jcorgan
Subject: [Commit-gnuradio] r4880 - gnuradio/branches/developers/jcorgan/sar-fe/gr-sar-fe/src/fpga/toplevel
Date: Wed, 4 Apr 2007 21:42:50 -0600 (MDT)

Author: jcorgan
Date: 2007-04-04 21:42:49 -0600 (Wed, 04 Apr 2007)
New Revision: 4880

Modified:
   
gnuradio/branches/developers/jcorgan/sar-fe/gr-sar-fe/src/fpga/toplevel/usrp_sar.v
Log:
Work in progress, removing unused wires.

Modified: 
gnuradio/branches/developers/jcorgan/sar-fe/gr-sar-fe/src/fpga/toplevel/usrp_sar.v
===================================================================
--- 
gnuradio/branches/developers/jcorgan/sar-fe/gr-sar-fe/src/fpga/toplevel/usrp_sar.v
  2007-04-05 03:08:07 UTC (rev 4879)
+++ 
gnuradio/branches/developers/jcorgan/sar-fe/gr-sar-fe/src/fpga/toplevel/usrp_sar.v
  2007-04-05 03:42:49 UTC (rev 4880)
@@ -78,13 +78,10 @@
    assign FX2_3 = tx_underrun;
       
    wire [15:0] usbdata_out;
-   
-   wire [3:0]  dac0mux,dac1mux,dac2mux,dac3mux;
-   
-   wire        tx_realsignals;
+
    wire [3:0]  rx_numchan;
    wire [2:0]  tx_numchan;
-   
+
    wire [7:0]  interp_rate, decim_rate;
    wire [15:0] tx_debugbus, rx_debugbus;
    
@@ -95,38 +92,25 @@
    bustri bustri( .data(usbdata_out),.enabledt(OE),.tridata(usbdata) );
 
    assign      clk64 = master_clk;
-
-   wire [15:0] ch0tx,ch1tx,ch2tx,ch3tx; //,ch4tx,ch5tx,ch6tx,ch7tx;
-   wire [15:0] ch0rx,ch1rx,ch2rx,ch3rx,ch4rx,ch5rx,ch6rx,ch7rx;
-   
-   // TX
-   wire [15:0] i_out_0,i_out_1,q_out_0,q_out_1;
-   wire [15:0] bb_tx_i0,bb_tx_q0,bb_tx_i1,bb_tx_q1;  // 
bb_tx_i2,bb_tx_q2,bb_tx_i3,bb_tx_q3;
-   
    wire        strobe_interp, tx_sample_strobe;
+   wire        strobe_decim, rx_sample_strobe;
    wire        tx_empty;
    
    wire        serial_strobe;
    wire [6:0]  serial_addr;
    wire [31:0] serial_data;
-
-   reg [15:0] debug_counter;
-   reg [15:0] loopback_i_0,loopback_q_0;
-
    
    
////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////
    // Transmit Side
 
-   wire [15:0] tx_i;
-   wire [15:0] tx_q;
+   wire [15:0] tx_i, tx_q;
 
-   wire txsync = tx_sample_strobe;
-   assign tx_a = txsync ? tx_i[15:2] : tx_q[15:2];
+   assign tx_a = tx_sample_strobe ? tx_i[15:2] : tx_q[15:2];
    assign tx_b = 14'b0;
-   assign TXSYNC_A = txsync;
-   assign TXSYNC_B = txsync;
+   assign TXSYNC_A = tx_sample_strobe;
+   assign TXSYNC_B = tx_sample_strobe;
 
-   // Put sar_tx block here that drives tx_i, tx_q, txsync
+   // Put sar_tx block here that drives tx_i, tx_q
    assign tx_i = 16'b0;
    assign tx_q = 16'b0;
 
@@ -146,9 +130,11 @@
                               
.ddc3_in_i(),.ddc3_in_q(),.rx_numchan(rx_numchan));
 
 
-   // Put sar_rx block here, reading rx_in_i, rx_in_q, and driving rx_i, rx_q, 
rx_strobe
+   // Put sar_rx block here, reading rx_in_i, rx_in_q
+   // and drives rx_i, rx_q
    wire [15:0] rx_i, rx_q;
-   wire        rx_strobe;
+   assign rx_i = 16'b0;
+   assign rx_q = 16'b0;
 
    rx_buffer rx_buffer
      ( .usbclk(usbclk),.bus_reset(rx_bus_reset),.reset(rx_dsp_reset),
@@ -159,7 +145,7 @@
        .ch_2(),.ch_3(),
        .ch_4(),.ch_5(),
        .ch_6(),.ch_7(),
-       .rxclk(clk64),.rxstrobe(rx_strobe),
+       .rxclk(clk64),.rxstrobe(rx_sample_strobe),
        .clear_status(clear_status),
        
.serial_addr(serial_addr),.serial_data(serial_data),.serial_strobe(serial_strobe),
        .debugbus(rx_debugbus));





reply via email to

[Prev in Thread] Current Thread [Next in Thread]