commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] r4891 - gnuradio/branches/developers/jcorgan/sar-fe/us


From: jcorgan
Subject: [Commit-gnuradio] r4891 - gnuradio/branches/developers/jcorgan/sar-fe/usrp/fpga/sdr_lib
Date: Thu, 5 Apr 2007 22:42:45 -0600 (MDT)

Author: jcorgan
Date: 2007-04-05 22:42:45 -0600 (Thu, 05 Apr 2007)
New Revision: 4891

Modified:
   gnuradio/branches/developers/jcorgan/sar-fe/usrp/fpga/sdr_lib/cordic.v
   gnuradio/branches/developers/jcorgan/sar-fe/usrp/fpga/sdr_lib/cordic_stage.v
Log:
Uncommented enables.

Modified: gnuradio/branches/developers/jcorgan/sar-fe/usrp/fpga/sdr_lib/cordic.v
===================================================================
--- gnuradio/branches/developers/jcorgan/sar-fe/usrp/fpga/sdr_lib/cordic.v      
2007-04-06 01:06:38 UTC (rev 4890)
+++ gnuradio/branches/developers/jcorgan/sar-fe/usrp/fpga/sdr_lib/cordic.v      
2007-04-06 04:42:45 UTC (rev 4891)
@@ -66,7 +66,7 @@
        begin
          x0   <= #1 0; y0   <= #1 0;  z0   <= #1 0;
        end
-     else// if(enable)
+     else if(enable)
        begin
          z0 <= #1 zi[zwidth-2:0];
          case (zi[zwidth-1:zwidth-2])

Modified: 
gnuradio/branches/developers/jcorgan/sar-fe/usrp/fpga/sdr_lib/cordic_stage.v
===================================================================
--- 
gnuradio/branches/developers/jcorgan/sar-fe/usrp/fpga/sdr_lib/cordic_stage.v    
    2007-04-06 01:06:38 UTC (rev 4890)
+++ 
gnuradio/branches/developers/jcorgan/sar-fe/usrp/fpga/sdr_lib/cordic_stage.v    
    2007-04-06 04:42:45 UTC (rev 4891)
@@ -45,7 +45,7 @@
          yo <= #1 0;
          zo <= #1 0;
        end
-     else //if(enable)
+     else if(enable)
        begin
          xo <= #1 z_is_pos ?   
                xi - {{shift+1{yi[bitwidth-1]}},yi[bitwidth-2:shift]} :





reply via email to

[Prev in Thread] Current Thread [Next in Thread]