commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] r4924 - in gnuradio/branches/developers/matt/u2f/top:


From: matt
Subject: [Commit-gnuradio] r4924 - in gnuradio/branches/developers/matt/u2f/top: safe_bringup u2_basic
Date: Sat, 7 Apr 2007 18:49:35 -0600 (MDT)

Author: matt
Date: 2007-04-07 18:49:35 -0600 (Sat, 07 Apr 2007)
New Revision: 4924

Modified:
   gnuradio/branches/developers/matt/u2f/top/safe_bringup/safe_bringup.ise
   gnuradio/branches/developers/matt/u2f/top/safe_bringup/safe_bringup.v
   gnuradio/branches/developers/matt/u2f/top/u2_basic/u2_basic.ucf
Log:
got all the differential pins assigned


Modified: 
gnuradio/branches/developers/matt/u2f/top/safe_bringup/safe_bringup.ise
===================================================================
(Binary files differ)

Modified: gnuradio/branches/developers/matt/u2f/top/safe_bringup/safe_bringup.v
===================================================================
--- gnuradio/branches/developers/matt/u2f/top/safe_bringup/safe_bringup.v       
2007-04-08 00:23:57 UTC (rev 4923)
+++ gnuradio/branches/developers/matt/u2f/top/safe_bringup/safe_bringup.v       
2007-04-08 00:49:35 UTC (rev 4924)
@@ -20,8 +20,10 @@
    output [1:0] debug_clk,
 
    // Expansion
-   input exp_pps_in, // Diff
-   input exp_pps_out, // Diff 
+   input exp_pps_in_p, // Diff
+   input exp_pps_in_n, // Diff
+   output exp_pps_out_p, // Diff 
+   output exp_pps_out_n, // Diff 
    
    // GMII
    //   GMII-CTRL
@@ -109,7 +111,8 @@
    input clk_status,
 
    // Clocks
-   input clk_fpga,  // Diff
+   input clk_fpga_p,
+       input clk_fpga_n,  // Diff
    input clk_to_mac,
    input pps_in,
    
@@ -155,14 +158,28 @@
    input [15:0] io_rx
    );
 
-       wire reset;
-       reg [31:0] rst_ctr;
-       
+       wire clk_fpga;
+       IBUFGDS clk_fpga_pin (.O(clk_fpga),.I(clk_fpga_p),.IB(clk_fpga_n));
+       defparam clk_fpga_pin.IOSTANDARD = "LVPECL_25";
+
+       wire exp_pps_in;
+       IBUFGDS exp_pps_in_pin 
(.O(exp_pps_in),.I(exp_pps_in_p),.IB(exp_pps_in_n));
+       defparam exp_pps_in_pin.IOSTANDARD = "LVDS_25";
+
+       wire exp_pps_out;
+       OBUFDS exp_pps_out_pin 
(.O(exp_pps_out_p),.OB(exp_pps_out_n),.I(exp_pps_out));
+       defparam exp_pps_out_pin.IOSTANDARD = "LVDS_25";
+       // defparam exp_pps_out_pin.DRIVE = 12;   // FIXME  These seem to give 
errors...
+       // defparam exp_pps_out_pin.SLEW = "SLOW";
+
+       wire reset;
+       reg [31:0] rst_ctr;
+       
        wire    aux_clk = spi_cpld_clk;
        assign reset = rst_ctr[29];
-       
-       always @(posedge aux_clk)
-               rst_ctr <= rst_ctr + 32'd1;
+       
+       always @(posedge aux_clk)
+               rst_ctr <= rst_ctr + 32'd1;
                
    
    reg [23:0] counter;

Modified: gnuradio/branches/developers/matt/u2f/top/u2_basic/u2_basic.ucf
===================================================================
--- gnuradio/branches/developers/matt/u2f/top/u2_basic/u2_basic.ucf     
2007-04-08 00:23:57 UTC (rev 4923)
+++ gnuradio/branches/developers/matt/u2f/top/u2_basic/u2_basic.ucf     
2007-04-08 00:49:35 UTC (rev 4924)
@@ -1,79 +1,79 @@
-#PACE: Start of Constraints generated by PACE
-
 #PACE: Start of PACE I/O Pin Assignments
-NET "adc_a[0]"  LOC = "A14"  ;
-NET "adc_a[10]"  LOC = "D20"  ;
-NET "adc_a[11]"  LOC = "D19"  ;
-NET "adc_a[12]"  LOC = "D21"  ;
-NET "adc_a[13]"  LOC = "E18"  ;
-NET "adc_a[1]"  LOC = "B14"  ;
-NET "adc_a[2]"  LOC = "C13"  ;
-NET "adc_a[3]"  LOC = "D13"  ;
-NET "adc_a[4]"  LOC = "A13"  ;
-NET "adc_a[5]"  LOC = "B13"  ;
-NET "adc_a[6]"  LOC = "E12"  ;
-NET "adc_a[7]"  LOC = "C22"  ;
-NET "adc_a[8]"  LOC = "C20"  ;
-NET "adc_a[9]"  LOC = "C21"  ;
-NET "adc_b[0]"  LOC = "A12"  ;
-NET "adc_b[10]"  LOC = "D18"  ;
-NET "adc_b[11]"  LOC = "B18"  ;
-NET "adc_b[12]"  LOC = "D17"  ;
-NET "adc_b[13]"  LOC = "E17"  ;
-NET "adc_b[1]"  LOC = "E16"  ;
-NET "adc_b[2]"  LOC = "F12"  ;
-NET "adc_b[3]"  LOC = "F13"  ;
-NET "adc_b[4]"  LOC = "F16"  ;
-NET "adc_b[5]"  LOC = "F17"  ;
-NET "adc_b[6]"  LOC = "C19"  ;
-NET "adc_b[7]"  LOC = "B20"  ;
-NET "adc_b[8]"  LOC = "B19"  ;
-NET "adc_b[9]"  LOC = "C18"  ;
-NET "adc_oen_a"  LOC = "E19"  ;
-NET "adc_oen_b"  LOC = "C17"  ;
-NET "adc_ovf_a"  LOC = "F18"  ;
-NET "adc_ovf_b"  LOC = "B17"  ;
-NET "adc_pdn_a"  LOC = "E20"  ;
-NET "adc_pdn_b"  LOC = "D15"  ;
-NET "clk_en[0]"  LOC = "C4"  ;
-NET "clk_en[1]"  LOC = "D1"  ;
-NET "clk_func"  LOC = "C12"  ;
-NET "clk_sel[0]"  LOC = "C3"  ;
-NET "clk_sel[1]"  LOC = "C2"  ;
-NET "clk_status"  LOC = "B12"  ;
-NET "clk_to_mac"  LOC = "AB12"  ;
-NET "dac_a[0]"  LOC = "A5"  ;
-NET "dac_a[10]"  LOC = "L2"  ;
-NET "dac_a[11]"  LOC = "L4"  ;
-NET "dac_a[12]"  LOC = "L3"  ;
-NET "dac_a[13]"  LOC = "L6"  ;
-NET "dac_a[14]"  LOC = "L5"  ;
-NET "dac_a[15]"  LOC = "K2"  ;
-NET "dac_a[1]"  LOC = "B5"  ;
-NET "dac_a[2]"  LOC = "C5"  ;
-NET "dac_a[3]"  LOC = "D5"  ;
-NET "dac_a[4]"  LOC = "A4"  ;
-NET "dac_a[5]"  LOC = "B4"  ;
-NET "dac_a[6]"  LOC = "F6"  ;
-NET "dac_a[7]"  LOC = "D10"  ;
-NET "dac_a[8]"  LOC = "D9"  ;
-NET "dac_a[9]"  LOC = "A10"  ;
-NET "dac_b[0]"  LOC = "D11"  ;
-NET "dac_b[10]"  LOC = "F9"  ;
-NET "dac_b[11]"  LOC = "A8"  ;
-NET "dac_b[12]"  LOC = "B8"  ;
-NET "dac_b[13]"  LOC = "D7"  ;
-NET "dac_b[14]"  LOC = "E7"  ;
-NET "dac_b[15]"  LOC = "B6"  ;
-NET "dac_b[1]"  LOC = "E11"  ;
-NET "dac_b[2]"  LOC = "F11"  ;
-NET "dac_b[3]"  LOC = "B10"  ;
-NET "dac_b[4]"  LOC = "C10"  ;
-NET "dac_b[5]"  LOC = "E10"  ;
-NET "dac_b[6]"  LOC = "F10"  ;
-NET "dac_b[7]"  LOC = "A9"  ;
-NET "dac_b[8]"  LOC = "B9"  ;
-NET "dac_b[9]"  LOC = "E9"  ;
+NET "adc_a[0]"  LOC = "A14"  ; 
+NET "adc_a[10]"  LOC = "D20"  ; 
+NET "adc_a[11]"  LOC = "D19"  ; 
+NET "adc_a[12]"  LOC = "D21"  ; 
+NET "adc_a[13]"  LOC = "E18"  ; 
+NET "adc_a[1]"  LOC = "B14"  ; 
+NET "adc_a[2]"  LOC = "C13"  ; 
+NET "adc_a[3]"  LOC = "D13"  ; 
+NET "adc_a[4]"  LOC = "A13"  ; 
+NET "adc_a[5]"  LOC = "B13"  ; 
+NET "adc_a[6]"  LOC = "E12"  ; 
+NET "adc_a[7]"  LOC = "C22"  ; 
+NET "adc_a[8]"  LOC = "C20"  ; 
+NET "adc_a[9]"  LOC = "C21"  ; 
+NET "adc_b[0]"  LOC = "A12"  ; 
+NET "adc_b[10]"  LOC = "D18"  ; 
+NET "adc_b[11]"  LOC = "B18"  ; 
+NET "adc_b[12]"  LOC = "D17"  ; 
+NET "adc_b[13]"  LOC = "E17"  ; 
+NET "adc_b[1]"  LOC = "E16"  ; 
+NET "adc_b[2]"  LOC = "F12"  ; 
+NET "adc_b[3]"  LOC = "F13"  ; 
+NET "adc_b[4]"  LOC = "F16"  ; 
+NET "adc_b[5]"  LOC = "F17"  ; 
+NET "adc_b[6]"  LOC = "C19"  ; 
+NET "adc_b[7]"  LOC = "B20"  ; 
+NET "adc_b[8]"  LOC = "B19"  ; 
+NET "adc_b[9]"  LOC = "C18"  ; 
+NET "adc_oen_a"  LOC = "E19"  ; 
+NET "adc_oen_b"  LOC = "C17"  ; 
+NET "adc_ovf_a"  LOC = "F18"  ; 
+NET "adc_ovf_b"  LOC = "B17"  ; 
+NET "adc_pdn_a"  LOC = "E20"  ; 
+NET "adc_pdn_b"  LOC = "D15"  ; 
+NET "clk_en[0]"  LOC = "C4"  ; 
+NET "clk_en[1]"  LOC = "D1"  ; 
+NET "clk_fpga_n"  LOC = "B11"  ; 
+NET "clk_fpga_p"  LOC = "A11"  ; 
+NET "clk_func"  LOC = "C12"  ; 
+NET "clk_sel[0]"  LOC = "C3"  ; 
+NET "clk_sel[1]"  LOC = "C2"  ; 
+NET "clk_status"  LOC = "B12"  ; 
+NET "clk_to_mac"  LOC = "AB12"  ; 
+NET "dac_a[0]"  LOC = "A5"  ; 
+NET "dac_a[10]"  LOC = "L2"  ; 
+NET "dac_a[11]"  LOC = "L4"  ; 
+NET "dac_a[12]"  LOC = "L3"  ; 
+NET "dac_a[13]"  LOC = "L6"  ; 
+NET "dac_a[14]"  LOC = "L5"  ; 
+NET "dac_a[15]"  LOC = "K2"  ; 
+NET "dac_a[1]"  LOC = "B5"  ; 
+NET "dac_a[2]"  LOC = "C5"  ; 
+NET "dac_a[3]"  LOC = "D5"  ; 
+NET "dac_a[4]"  LOC = "A4"  ; 
+NET "dac_a[5]"  LOC = "B4"  ; 
+NET "dac_a[6]"  LOC = "F6"  ; 
+NET "dac_a[7]"  LOC = "D10"  ; 
+NET "dac_a[8]"  LOC = "D9"  ; 
+NET "dac_a[9]"  LOC = "A10"  ; 
+NET "dac_b[0]"  LOC = "D11"  ; 
+NET "dac_b[10]"  LOC = "F9"  ; 
+NET "dac_b[11]"  LOC = "A8"  ; 
+NET "dac_b[12]"  LOC = "B8"  ; 
+NET "dac_b[13]"  LOC = "D7"  ; 
+NET "dac_b[14]"  LOC = "E7"  ; 
+NET "dac_b[15]"  LOC = "B6"  ; 
+NET "dac_b[1]"  LOC = "E11"  ; 
+NET "dac_b[2]"  LOC = "F11"  ; 
+NET "dac_b[3]"  LOC = "B10"  ; 
+NET "dac_b[4]"  LOC = "C10"  ; 
+NET "dac_b[5]"  LOC = "E10"  ; 
+NET "dac_b[6]"  LOC = "F10"  ; 
+NET "dac_b[7]"  LOC = "A9"  ; 
+NET "dac_b[8]"  LOC = "B9"  ; 
+NET "dac_b[9]"  LOC = "E9"  ; 
 NET "debug[0]"  LOC = "N5"  ; 
 NET "debug[10]"  LOC = "R4"  ; 
 NET "debug[11]"  LOC = "T3"  ; 
@@ -108,8 +108,10 @@
 NET "debug[9]"  LOC = "R5"  ; 
 NET "debug_clk[0]"  LOC = "N4"  ; 
 NET "debug_clk[1]"  LOC = "M1"  ; 
-NET "exp_pps_in"  LOC = "V4"  ; 
-NET "exp_pps_out"  LOC = "V2"  ;
+NET "exp_pps_in_n"  LOC = "V4"  ; 
+NET "exp_pps_in_p"  LOC = "V3"  ; 
+NET "exp_pps_out_n"  LOC = "V2"  ; 
+NET "exp_pps_out_p"  LOC = "V1"  ; 
 NET "GMII_COL"  LOC = "U16"  ; 
 NET "GMII_CRS"  LOC = "U17"  ; 
 NET "GMII_GTX_CLK"  LOC = "AA17"  ; 
@@ -135,46 +137,46 @@
 NET "GMII_TXD[5]"  LOC = "AB18"  ; 
 NET "GMII_TXD[6]"  LOC = "V17"  ; 
 NET "GMII_TXD[7]"  LOC = "W17"  ; 
-NET "io_rx[0]"  LOC = "L21"  ;
-NET "io_rx[10]"  LOC = "F21"  ;
-NET "io_rx[11]"  LOC = "F20"  ;
-NET "io_rx[12]"  LOC = "G19"  ;
-NET "io_rx[13]"  LOC = "G18"  ;
-NET "io_rx[14]"  LOC = "G17"  ;
-NET "io_rx[15]"  LOC = "E22"  ;
-NET "io_rx[1]"  LOC = "L20"  ;
-NET "io_rx[2]"  LOC = "L19"  ;
-NET "io_rx[3]"  LOC = "L18"  ;
-NET "io_rx[4]"  LOC = "L17"  ;
-NET "io_rx[5]"  LOC = "K22"  ;
-NET "io_rx[6]"  LOC = "K21"  ;
-NET "io_rx[7]"  LOC = "K20"  ;
-NET "io_rx[8]"  LOC = "G22"  ;
-NET "io_rx[9]"  LOC = "G21"  ;
-NET "io_tx[0]"  LOC = "K4"  ;
-NET "io_tx[10]"  LOC = "E1"  ;
-NET "io_tx[11]"  LOC = "E3"  ;
-NET "io_tx[12]"  LOC = "F4"  ;
-NET "io_tx[13]"  LOC = "D2"  ;
-NET "io_tx[14]"  LOC = "D4"  ;
-NET "io_tx[15]"  LOC = "E4"  ;
-NET "io_tx[1]"  LOC = "K3"  ;
-NET "io_tx[2]"  LOC = "G1"  ;
-NET "io_tx[3]"  LOC = "G5"  ;
-NET "io_tx[4]"  LOC = "H5"  ;
-NET "io_tx[5]"  LOC = "F3"  ;
-NET "io_tx[6]"  LOC = "F2"  ;
-NET "io_tx[7]"  LOC = "F5"  ;
-NET "io_tx[8]"  LOC = "G6"  ;
-NET "io_tx[9]"  LOC = "E2"  ;
-NET "led1"  LOC = "V11"  ;
-NET "led2"  LOC = "Y12"  ;
+NET "io_rx[0]"  LOC = "L21"  ; 
+NET "io_rx[10]"  LOC = "F21"  ; 
+NET "io_rx[11]"  LOC = "F20"  ; 
+NET "io_rx[12]"  LOC = "G19"  ; 
+NET "io_rx[13]"  LOC = "G18"  ; 
+NET "io_rx[14]"  LOC = "G17"  ; 
+NET "io_rx[15]"  LOC = "E22"  ; 
+NET "io_rx[1]"  LOC = "L20"  ; 
+NET "io_rx[2]"  LOC = "L19"  ; 
+NET "io_rx[3]"  LOC = "L18"  ; 
+NET "io_rx[4]"  LOC = "L17"  ; 
+NET "io_rx[5]"  LOC = "K22"  ; 
+NET "io_rx[6]"  LOC = "K21"  ; 
+NET "io_rx[7]"  LOC = "K20"  ; 
+NET "io_rx[8]"  LOC = "G22"  ; 
+NET "io_rx[9]"  LOC = "G21"  ; 
+NET "io_tx[0]"  LOC = "K4"  ; 
+NET "io_tx[10]"  LOC = "E1"  ; 
+NET "io_tx[11]"  LOC = "E3"  ; 
+NET "io_tx[12]"  LOC = "F4"  ; 
+NET "io_tx[13]"  LOC = "D2"  ; 
+NET "io_tx[14]"  LOC = "D4"  ; 
+NET "io_tx[15]"  LOC = "E4"  ; 
+NET "io_tx[1]"  LOC = "K3"  ; 
+NET "io_tx[2]"  LOC = "G1"  ; 
+NET "io_tx[3]"  LOC = "G5"  ; 
+NET "io_tx[4]"  LOC = "H5"  ; 
+NET "io_tx[5]"  LOC = "F3"  ; 
+NET "io_tx[6]"  LOC = "F2"  ; 
+NET "io_tx[7]"  LOC = "F5"  ; 
+NET "io_tx[8]"  LOC = "G6"  ; 
+NET "io_tx[9]"  LOC = "E2"  ; 
+NET "led1"  LOC = "V11"  ; 
+NET "led2"  LOC = "Y12"  ; 
 NET "MDC"  LOC = "V18"  ; 
 NET "MDIO"  LOC = "Y16"  ; 
 NET "PHY_CLK"  LOC = "V15"  ; 
 NET "PHY_INTn"  LOC = "AB13"  ; 
 NET "PHY_RESETn"  LOC = "AA19"  ; 
-NET "pps_in"  LOC = "Y11"  ;
+NET "pps_in"  LOC = "Y11"  ; 
 NET "RAM_A[0]"  LOC = "N22"  ; 
 NET "RAM_A[10]"  LOC = "P18"  ; 
 NET "RAM_A[11]"  LOC = "R19"  ; 
@@ -218,83 +220,83 @@
 NET "RAM_LDn"  LOC = "M21"  ; 
 NET "RAM_OEn"  LOC = "M19"  ; 
 NET "RAM_WEn"  LOC = "M20"  ; 
-NET "SCL"  LOC = "A7"  ;
-NET "SCL_force"  LOC = "E8"  ;
-NET "sclk"  LOC = "K5"  ;
-NET "sclk_rx_adc"  LOC = "J17"  ;
-NET "sclk_rx_dac"  LOC = "J19"  ;
-NET "sclk_rx_db"  LOC = "F19"  ;
-NET "sclk_tx_adc"  LOC = "H1"  ;
-NET "sclk_tx_dac"  LOC = "J5"  ;
-NET "sclk_tx_db"  LOC = "D3"  ;
-NET "SDA"  LOC = "D8"  ;
-NET "SDA_force"  LOC = "C11"  ;
-NET "sdi"  LOC = "J1"  ;
-NET "sdi_rx_adc"  LOC = "H22"  ;
-NET "sdi_rx_dac"  LOC = "J21"  ;
-NET "sdi_rx_db"  LOC = "H19"  ;
-NET "sdi_tx_adc"  LOC = "J4"  ;
-NET "sdi_tx_dac"  LOC = "J6"  ;
-NET "sdi_tx_db"  LOC = "G4"  ;
-NET "sdo"  LOC = "J2"  ;
-NET "sdo_rx_adc"  LOC = "H21"  ;
-NET "sdo_rx_db"  LOC = "G20"  ;
-NET "sdo_tx_adc"  LOC = "H2"  ;
-NET "sdo_tx_db"  LOC = "G3"  ;
-NET "sen_clk"  LOC = "K6"  ;
-NET "sen_dac"  LOC = "L1"  ;
-NET "sen_rx_adc"  LOC = "H18"  ;
-NET "sen_rx_dac"  LOC = "J18"  ;
-NET "sen_rx_db"  LOC = "D22"  ;
-NET "sen_tx_adc"  LOC = "G2"  ;
-NET "sen_tx_dac"  LOC = "H4"  ;
-NET "sen_tx_db"  LOC = "C1"  ;
-NET "ser_enable"  LOC = "W11"  ;
-NET "ser_loopen"  LOC = "Y4"  ;
-NET "ser_prbsen"  LOC = "AA3"  ;
-NET "ser_r[0]"  LOC = "AB10"  ;
-NET "ser_r[10]"  LOC = "W10"  ;
-NET "ser_r[11]"  LOC = "Y1"  ;
-NET "ser_r[12]"  LOC = "Y3"  ;
-NET "ser_r[13]"  LOC = "Y2"  ;
-NET "ser_r[14]"  LOC = "W4"  ;
-NET "ser_r[15]"  LOC = "W1"  ;
-NET "ser_r[1]"  LOC = "AA10"  ;
-NET "ser_r[2]"  LOC = "U9"  ;
-NET "ser_r[3]"  LOC = "U6"  ;
-NET "ser_r[4]"  LOC = "AB11"  ;
-NET "ser_r[5]"  LOC = "Y7"  ;
-NET "ser_r[6]"  LOC = "W7"  ;
-NET "ser_r[7]"  LOC = "AB7"  ;
-NET "ser_r[8]"  LOC = "AA7"  ;
-NET "ser_r[9]"  LOC = "W9"  ;
-NET "ser_rklsb"  LOC = "V9"  ;
-NET "ser_rkmsb"  LOC = "Y10"  ;
-NET "ser_rx_clk"  LOC = "AA11"  ;
-NET "ser_rx_en"  LOC = "AB9"  ;
-NET "ser_t[0]"  LOC = "V7"  ;
-NET "ser_t[10]"  LOC = "AA6"  ;
-NET "ser_t[11]"  LOC = "Y6"  ;
-NET "ser_t[12]"  LOC = "W8"  ;
-NET "ser_t[13]"  LOC = "V8"  ;
-NET "ser_t[14]"  LOC = "AB8"  ;
-NET "ser_t[15]"  LOC = "AA8"  ;
-NET "ser_t[1]"  LOC = "V10"  ;
-NET "ser_t[2]"  LOC = "AB4"  ;
-NET "ser_t[3]"  LOC = "AA4"  ;
-NET "ser_t[4]"  LOC = "Y5"  ;
-NET "ser_t[5]"  LOC = "W5"  ;
-NET "ser_t[6]"  LOC = "AB5"  ;
-NET "ser_t[7]"  LOC = "AA5"  ;
-NET "ser_t[8]"  LOC = "W6"  ;
-NET "ser_t[9]"  LOC = "V6"  ;
-NET "ser_tklsb"  LOC = "U10"  ;
-NET "ser_tkmsb"  LOC = "U11"  ;
-NET "ser_tx_clk"  LOC = "U7"  ;
-NET "spi_cpld_clk"  LOC = "AB14"  ;
-NET "spi_cpld_din"  LOC = "AA9"  ;
-NET "spi_cpld_dout"  LOC = "U12"  ;
-NET "spi_cpld_en"  LOC = "V12"  ;
+NET "SCL"  LOC = "A7"  ; 
+NET "SCL_force"  LOC = "E8"  ; 
+NET "sclk"  LOC = "K5"  ; 
+NET "sclk_rx_adc"  LOC = "J17"  ; 
+NET "sclk_rx_dac"  LOC = "J19"  ; 
+NET "sclk_rx_db"  LOC = "F19"  ; 
+NET "sclk_tx_adc"  LOC = "H1"  ; 
+NET "sclk_tx_dac"  LOC = "J5"  ; 
+NET "sclk_tx_db"  LOC = "D3"  ; 
+NET "SDA"  LOC = "D8"  ; 
+NET "SDA_force"  LOC = "C11"  ; 
+NET "sdi"  LOC = "J1"  ; 
+NET "sdi_rx_adc"  LOC = "H22"  ; 
+NET "sdi_rx_dac"  LOC = "J21"  ; 
+NET "sdi_rx_db"  LOC = "H19"  ; 
+NET "sdi_tx_adc"  LOC = "J4"  ; 
+NET "sdi_tx_dac"  LOC = "J6"  ; 
+NET "sdi_tx_db"  LOC = "G4"  ; 
+NET "sdo"  LOC = "J2"  ; 
+NET "sdo_rx_adc"  LOC = "H21"  ; 
+NET "sdo_rx_db"  LOC = "G20"  ; 
+NET "sdo_tx_adc"  LOC = "H2"  ; 
+NET "sdo_tx_db"  LOC = "G3"  ; 
+NET "sen_clk"  LOC = "K6"  ; 
+NET "sen_dac"  LOC = "L1"  ; 
+NET "sen_rx_adc"  LOC = "H18"  ; 
+NET "sen_rx_dac"  LOC = "J18"  ; 
+NET "sen_rx_db"  LOC = "D22"  ; 
+NET "sen_tx_adc"  LOC = "G2"  ; 
+NET "sen_tx_dac"  LOC = "H4"  ; 
+NET "sen_tx_db"  LOC = "C1"  ; 
+NET "ser_enable"  LOC = "W11"  ; 
+NET "ser_loopen"  LOC = "Y4"  ; 
+NET "ser_prbsen"  LOC = "AA3"  ; 
+NET "ser_r[0]"  LOC = "AB10"  ; 
+NET "ser_r[10]"  LOC = "W10"  ; 
+NET "ser_r[11]"  LOC = "Y1"  ; 
+NET "ser_r[12]"  LOC = "Y3"  ; 
+NET "ser_r[13]"  LOC = "Y2"  ; 
+NET "ser_r[14]"  LOC = "W4"  ; 
+NET "ser_r[15]"  LOC = "W1"  ; 
+NET "ser_r[1]"  LOC = "AA10"  ; 
+NET "ser_r[2]"  LOC = "U9"  ; 
+NET "ser_r[3]"  LOC = "U6"  ; 
+NET "ser_r[4]"  LOC = "AB11"  ; 
+NET "ser_r[5]"  LOC = "Y7"  ; 
+NET "ser_r[6]"  LOC = "W7"  ; 
+NET "ser_r[7]"  LOC = "AB7"  ; 
+NET "ser_r[8]"  LOC = "AA7"  ; 
+NET "ser_r[9]"  LOC = "W9"  ; 
+NET "ser_rklsb"  LOC = "V9"  ; 
+NET "ser_rkmsb"  LOC = "Y10"  ; 
+NET "ser_rx_clk"  LOC = "AA11"  ; 
+NET "ser_rx_en"  LOC = "AB9"  ; 
+NET "ser_t[0]"  LOC = "V7"  ; 
+NET "ser_t[10]"  LOC = "AA6"  ; 
+NET "ser_t[11]"  LOC = "Y6"  ; 
+NET "ser_t[12]"  LOC = "W8"  ; 
+NET "ser_t[13]"  LOC = "V8"  ; 
+NET "ser_t[14]"  LOC = "AB8"  ; 
+NET "ser_t[15]"  LOC = "AA8"  ; 
+NET "ser_t[1]"  LOC = "V10"  ; 
+NET "ser_t[2]"  LOC = "AB4"  ; 
+NET "ser_t[3]"  LOC = "AA4"  ; 
+NET "ser_t[4]"  LOC = "Y5"  ; 
+NET "ser_t[5]"  LOC = "W5"  ; 
+NET "ser_t[6]"  LOC = "AB5"  ; 
+NET "ser_t[7]"  LOC = "AA5"  ; 
+NET "ser_t[8]"  LOC = "W6"  ; 
+NET "ser_t[9]"  LOC = "V6"  ; 
+NET "ser_tklsb"  LOC = "U10"  ; 
+NET "ser_tkmsb"  LOC = "U11"  ; 
+NET "ser_tx_clk"  LOC = "U7"  ; 
+NET "spi_cpld_clk"  LOC = "AB14"  ; 
+NET "spi_cpld_din"  LOC = "AA9"  ; 
+NET "spi_cpld_dout"  LOC = "U12"  ; 
+NET "spi_cpld_en"  LOC = "V12"  ; 
 
 #PACE: Start of PACE Area Constraints
 





reply via email to

[Prev in Thread] Current Thread [Next in Thread]