commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] r4932 - in gnuradio/branches/developers/matt/u2f: . to


From: matt
Subject: [Commit-gnuradio] r4932 - in gnuradio/branches/developers/matt/u2f: . top/u2_basic
Date: Sun, 8 Apr 2007 18:22:18 -0600 (MDT)

Author: matt
Date: 2007-04-08 18:22:18 -0600 (Sun, 08 Apr 2007)
New Revision: 4932

Removed:
   gnuradio/branches/developers/matt/u2f/i2c/
Modified:
   gnuradio/branches/developers/matt/u2f/top/u2_basic/u2_basic.v
Log:
latest


Modified: gnuradio/branches/developers/matt/u2f/top/u2_basic/u2_basic.v
===================================================================
--- gnuradio/branches/developers/matt/u2f/top/u2_basic/u2_basic.v       
2007-04-09 00:19:21 UTC (rev 4931)
+++ gnuradio/branches/developers/matt/u2f/top/u2_basic/u2_basic.v       
2007-04-09 00:22:18 UTC (rev 4932)
@@ -165,6 +165,14 @@
 
    wire        aux_clk = spi_cpld_clk;
    
+   i2c_master_top i2c(.wb_clk_i(),.wb_rst_i(),.arst_i(),
+                     .wb_adr_i(),.wb_dat_i(),.wb_dat_o(),
+                     .wb_we_i(),.wb_stb_i(),.wb_cyc_i(),
+                     .wb_ack_o(),.wb_inta_o(),
+                     .scl_pad_i(),.scl_pad_o(),.scl_padoen_o(),
+                     .sda_pad_i(),.sda_pad_o(),.sda_padoen_o()
+                     );
+
    clock_control clock_control 
      (.reset(),
       .aux_clk(aux_clk),    // 25MHz, for before fpga clock is active





reply via email to

[Prev in Thread] Current Thread [Next in Thread]