commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] r5042 - in gnuradio/branches/developers/jcorgan/snd/gr


From: jcorgan
Subject: [Commit-gnuradio] r5042 - in gnuradio/branches/developers/jcorgan/snd/gr-sounder/src/fpga: lib rbf/rev2 rbf/rev4
Date: Wed, 18 Apr 2007 12:23:06 -0600 (MDT)

Author: jcorgan
Date: 2007-04-18 12:23:06 -0600 (Wed, 18 Apr 2007)
New Revision: 5042

Modified:
   gnuradio/branches/developers/jcorgan/snd/gr-sounder/src/fpga/lib/lfsr.v
   gnuradio/branches/developers/jcorgan/snd/gr-sounder/src/fpga/lib/sounder_tx.v
   
gnuradio/branches/developers/jcorgan/snd/gr-sounder/src/fpga/rbf/rev2/usrp_sounder.rbf
   
gnuradio/branches/developers/jcorgan/snd/gr-sounder/src/fpga/rbf/rev4/usrp_sounder.rbf
Log:
Parameterized lfsr width and clean up.

Modified: 
gnuradio/branches/developers/jcorgan/snd/gr-sounder/src/fpga/lib/lfsr.v
===================================================================
--- gnuradio/branches/developers/jcorgan/snd/gr-sounder/src/fpga/lib/lfsr.v     
2007-04-18 16:13:32 UTC (rev 5041)
+++ gnuradio/branches/developers/jcorgan/snd/gr-sounder/src/fpga/lib/lfsr.v     
2007-04-18 18:23:06 UTC (rev 5042)
@@ -19,30 +19,28 @@
 //  Foundation, Inc., 51 Franklin Street, Boston, MA  02110-1301  USA
 //
 
-module lfsr(clk_i,rst_i,ena_i,strobe_i,mask_i,bit_o);
+module lfsr(clk_i,rst_i,ena_i,strobe_i,mask_i,pn_o);
+   parameter width = 16;
+
    input clk_i;
    input rst_i;
    input ena_i;
    input strobe_i;
-   input [15:0] mask_i;
+   input [width-1:0] mask_i;
    
-   output bit_o;
+   output pn_o;
 
-   reg  [15:0] shifter;
-   wire [15:0] taps = shifter & mask_i;
+   reg  [width-1:0] shifter;
 
-   wire parity = taps[15]^taps[14]^taps[13]^taps[12]^
-                taps[11]^taps[10]^taps[9]^taps[8]^
-                taps[7]^taps[6]^taps[5]^taps[4]^
-                taps[3]^taps[2]^taps[1]^taps[0];
+   wire parity = ^(shifter & mask_i);
    
    always @(posedge clk_i)
      if (rst_i | ~ena_i)
-       shifter <= 16'd1;
+       shifter <= 1;
      else
        if (strobe_i)
-        shifter <= {shifter[14:0],parity};
+        shifter <= {shifter[width-2:0],parity};
 
-   assign bit_o = shifter[0];
+   assign pn_o = shifter[0];
    
 endmodule // lfsr

Modified: 
gnuradio/branches/developers/jcorgan/snd/gr-sounder/src/fpga/lib/sounder_tx.v
===================================================================
--- 
gnuradio/branches/developers/jcorgan/snd/gr-sounder/src/fpga/lib/sounder_tx.v   
    2007-04-18 16:13:32 UTC (rev 5041)
+++ 
gnuradio/branches/developers/jcorgan/snd/gr-sounder/src/fpga/lib/sounder_tx.v   
    2007-04-18 18:23:06 UTC (rev 5042)
@@ -36,16 +36,15 @@
    output [15:0] tx_q_o;
    output [15:0] debug_o;
 
-   wire out;
+   wire pn;
    wire [15:0] mask;
 
    setting_reg #(`FR_USER_0) 
-     
sr_lfsr_mask(.clock(clk_i),.reset(1'b0),.strobe(s_strobe_i),.addr(saddr_i),.in(sdata_i),
-             .out(mask));
+     
sr_lfsr_mask(.clock(clk_i),.reset(1'b0),.strobe(s_strobe_i),.addr(saddr_i),.in(sdata_i),.out(mask));
 
-   lfsr 
pn(.clk_i(clk_i),.rst_i(rst_i),.ena_i(ena_i),.strobe_i(strobe_i),.mask_i(mask),.bit_o(out));
+   lfsr 
lfsr(.clk_i(clk_i),.rst_i(rst_i),.ena_i(ena_i),.strobe_i(strobe_i),.mask_i(mask),.pn_o(pn));
    
-   assign tx_i_o = out ? 16'h7FFF : 16'h8000;   
+   assign tx_i_o = pn ? 16'h7FFF : 16'h8000;   // Bipolar -max, max 2s 
complement
    assign tx_q_o = 16'b0;
    assign debug_o = 16'hAA55;
          

Modified: 
gnuradio/branches/developers/jcorgan/snd/gr-sounder/src/fpga/rbf/rev2/usrp_sounder.rbf
===================================================================
(Binary files differ)

Modified: 
gnuradio/branches/developers/jcorgan/snd/gr-sounder/src/fpga/rbf/rev4/usrp_sounder.rbf
===================================================================
(Binary files differ)





reply via email to

[Prev in Thread] Current Thread [Next in Thread]