commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] r5676 - in gnuradio/branches/developers/jcorgan/snd/gr


From: jcorgan
Subject: [Commit-gnuradio] r5676 - in gnuradio/branches/developers/jcorgan/snd/gr-sounder/src/fpga: lib tb top
Date: Mon, 4 Jun 2007 21:29:45 -0600 (MDT)

Author: jcorgan
Date: 2007-06-04 21:29:44 -0600 (Mon, 04 Jun 2007)
New Revision: 5676

Modified:
   
gnuradio/branches/developers/jcorgan/snd/gr-sounder/src/fpga/lib/sounder_ctrl.v
   gnuradio/branches/developers/jcorgan/snd/gr-sounder/src/fpga/lib/sounder_rx.v
   
gnuradio/branches/developers/jcorgan/snd/gr-sounder/src/fpga/tb/sounder_tb.sav
   
gnuradio/branches/developers/jcorgan/snd/gr-sounder/src/fpga/top/usrp_sounder.rbf
Log:
Digital loopback now working correctly with new receiver implementation.

Modified: 
gnuradio/branches/developers/jcorgan/snd/gr-sounder/src/fpga/lib/sounder_ctrl.v
===================================================================
--- 
gnuradio/branches/developers/jcorgan/snd/gr-sounder/src/fpga/lib/sounder_ctrl.v 
    2007-06-05 02:46:55 UTC (rev 5675)
+++ 
gnuradio/branches/developers/jcorgan/snd/gr-sounder/src/fpga/lib/sounder_ctrl.v 
    2007-06-05 03:29:44 UTC (rev 5676)
@@ -70,11 +70,11 @@
    always @(posedge clk_i)
      if (rst_i)
        begin
-         phase <= #5 0;
+         phase <= #5 16'hFFFF;
          rx_strobe_o <= #5 0;
        end
      else
-       if (phase == len)
+       if (sum_strobe_o)
         begin
            phase <= #5 0;
            rx_strobe_o <= #5 1'b1;

Modified: 
gnuradio/branches/developers/jcorgan/snd/gr-sounder/src/fpga/lib/sounder_rx.v
===================================================================
--- 
gnuradio/branches/developers/jcorgan/snd/gr-sounder/src/fpga/lib/sounder_rx.v   
    2007-06-05 02:46:55 UTC (rev 5675)
+++ 
gnuradio/branches/developers/jcorgan/snd/gr-sounder/src/fpga/lib/sounder_rx.v   
    2007-06-05 03:29:44 UTC (rev 5676)
@@ -62,10 +62,10 @@
      else
        if (sum_strobe_i)
         begin
-           total_i <= #5 sum_i + prod_i;
-           total_q <= #5 sum_q + prod_q;
-           sum_i <= #5 0;
-           sum_q <= #5 0;
+           total_i <= #5 sum_i;
+           total_q <= #5 sum_q;
+           sum_i <= #5 prod_i;
+           sum_q <= #5 prod_q;
         end
        else
         begin

Modified: 
gnuradio/branches/developers/jcorgan/snd/gr-sounder/src/fpga/tb/sounder_tb.sav
===================================================================
--- 
gnuradio/branches/developers/jcorgan/snd/gr-sounder/src/fpga/tb/sounder_tb.sav  
    2007-06-05 02:46:55 UTC (rev 5675)
+++ 
gnuradio/branches/developers/jcorgan/snd/gr-sounder/src/fpga/tb/sounder_tb.sav  
    2007-06-05 03:29:44 UTC (rev 5676)
@@ -1,6 +1,4 @@
-*-15.886647 5560000 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 
-1 -1 -1 -1 -1 -1 -1
address@hidden
-sounder_tb.clk
+*-29.807737 317080000 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 
-1 -1 -1 -1 -1 -1 -1
 @200
 -
 @28
@@ -41,14 +39,19 @@
 @200
 -
 @28
+sounder_tb.clk
 sounder_tb.uut.transmitter.pn
 sounder_tb.uut.receiver.pn_ref
 @8420
 sounder_tb.uut.receiver.prod_i[31:0]
 sounder_tb.uut.receiver.scaled_i[31:0]
address@hidden
 sounder_tb.uut.receiver.sum_i[31:0]
address@hidden
 sounder_tb.uut.receiver.total_i[31:0]
 @200
 -
 @22
 sounder_tb.uut.master.len[16:0]
address@hidden
+-

Modified: 
gnuradio/branches/developers/jcorgan/snd/gr-sounder/src/fpga/top/usrp_sounder.rbf
===================================================================
(Binary files differ)





reply via email to

[Prev in Thread] Current Thread [Next in Thread]