commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] r5798 - gnuradio/branches/developers/thottelt/simulati


From: thottelt
Subject: [Commit-gnuradio] r5798 - gnuradio/branches/developers/thottelt/simulations
Date: Tue, 19 Jun 2007 09:55:44 -0600 (MDT)

Author: thottelt
Date: 2007-06-19 09:55:43 -0600 (Tue, 19 Jun 2007)
New Revision: 5798

Added:
   gnuradio/branches/developers/thottelt/simulations/rx_chains.v
Log:
forgot a test module

Added: gnuradio/branches/developers/thottelt/simulations/rx_chains.v
===================================================================
--- gnuradio/branches/developers/thottelt/simulations/rx_chains.v               
                (rev 0)
+++ gnuradio/branches/developers/thottelt/simulations/rx_chains.v       
2007-06-19 15:55:43 UTC (rev 5798)
@@ -0,0 +1,23 @@
+module rx_chains (
+    input rxclk,
+    input reset,
+    input rxstrobe,
+    output [15:0] ch_0,
+    output [15:0] ch_1);
+    
+    reg [15:0] i;
+    
+    assign ch_0 = i;
+    assign ch_1 = 16'hFFFF - i;
+    
+    always @(posedge rxclk)
+    begin
+        if (reset)
+            i <= 1;
+        else
+            if (rxstrobe)
+                i <= i + 1;
+    end
+    
+    
+endmodule


Property changes on: 
gnuradio/branches/developers/thottelt/simulations/rx_chains.v
___________________________________________________________________
Name: svn:executable
   + *





reply via email to

[Prev in Thread] Current Thread [Next in Thread]