commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] r5800 - gnuradio/branches/developers/matt/u2f/control_


From: matt
Subject: [Commit-gnuradio] r5800 - gnuradio/branches/developers/matt/u2f/control_lib
Date: Tue, 19 Jun 2007 19:39:53 -0600 (MDT)

Author: matt
Date: 2007-06-19 19:39:53 -0600 (Tue, 19 Jun 2007)
New Revision: 5800

Added:
   gnuradio/branches/developers/matt/u2f/control_lib/ram_512by32_2p.v
Removed:
   gnuradio/branches/developers/matt/u2f/control_lib/buffer_2k.v
Log:
renamed



Deleted: gnuradio/branches/developers/matt/u2f/control_lib/buffer_2k.v

Copied: gnuradio/branches/developers/matt/u2f/control_lib/ram_512by32_2p.v 
(from rev 5788, gnuradio/branches/developers/matt/u2f/control_lib/buffer_2k.v)
===================================================================
--- gnuradio/branches/developers/matt/u2f/control_lib/ram_512by32_2p.v          
                (rev 0)
+++ gnuradio/branches/developers/matt/u2f/control_lib/ram_512by32_2p.v  
2007-06-20 01:39:53 UTC (rev 5800)
@@ -0,0 +1,36 @@
+
+
+module buffer_2k
+  (input clka,
+   input ena,
+   input wea,
+   input [8:0] addra,
+   input [31:0] dia,
+   output reg [31:0] doa,
+
+   input clkb,
+   input enb,
+   input web,
+   input [8:0] addrb,
+   input [31:0] dib,
+   output reg [31:0] dob);
+   
+   reg [31:0]   ram [511:0];
+
+   always @(posedge clka) begin
+      if (ena)
+        begin
+           if (wea)
+             ram[addra] <= dia;
+           doa <= ram[addra];
+        end
+   end
+   always @(posedge clkb) begin
+      if (enb)
+        begin
+           if (web)
+             ram[addrb] <= dib;
+           dob <= ram[addrb];
+        end
+   end
+endmodule // buffer_2k





reply via email to

[Prev in Thread] Current Thread [Next in Thread]