commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] r6088 - in gnuradio/branches/developers/jcorgan/radar/


From: jcorgan
Subject: [Commit-gnuradio] r6088 - in gnuradio/branches/developers/jcorgan/radar/gr-radar-mono: doc src/fpga/lib src/fpga/top src/python
Date: Mon, 30 Jul 2007 20:21:24 -0600 (MDT)

Author: jcorgan
Date: 2007-07-30 20:21:24 -0600 (Mon, 30 Jul 2007)
New Revision: 6088

Modified:
   gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/doc/registers.ods
   gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/fpga/lib/radar.v
   
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/fpga/lib/radar_config.vh
   
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/fpga/lib/radar_control.v
   
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/fpga/lib/radar_rx.v
   
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/fpga/top/usrp_radar_mono.qsf
   
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/fpga/top/usrp_radar_mono.rbf
   
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/python/radar_mono.py
Log:
Work in progress, receiver debugging now configurable.

Modified: 
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/doc/registers.ods
===================================================================
(Binary files differ)

Modified: 
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/fpga/lib/radar.v
===================================================================
--- 
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/fpga/lib/radar.v   
    2007-07-31 01:43:01 UTC (rev 6087)
+++ 
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/fpga/lib/radar.v   
    2007-07-31 02:21:24 UTC (rev 6088)
@@ -48,7 +48,7 @@
    // Application control
    wire          reset;                // Master application reset
    wire          tx_side;      // Transmitter slot
-   wire         rx_side;       // Receiver slot
+   wire          debug_enabled; // Enable debugging mode;       
    wire         tx_enable;     // Transmitter enable
    wire         rx_enable;     // Receiver enable
    wire          tx_ctrl;       // Transmitter on control
@@ -61,7 +61,7 @@
 
    radar_control controller
      
(.clk_i(clk_i),.saddr_i(saddr_i),.sdata_i(sdata_i),.s_strobe_i(s_strobe_i),
-      .reset_o(reset),.tx_side_o(tx_side_o),
+      .reset_o(reset),.tx_side_o(tx_side_o),.dbg_o(debug_enabled),
       .tx_strobe_o(tx_strobe_o),.tx_ctrl_o(tx_ctrl),.rx_ctrl_o(rx_ctrl),
       .ampl_o(ampl),.fstart_o(fstart),.fincr_o(fincr));
 
@@ -71,7 +71,7 @@
        .tx_i_o(tx_dac_i_o),.tx_q_o(tx_dac_q_o) );
    
    radar_rx receiver
-     ( .clk_i(clk_i),.rst_i(reset),.ena_i(rx_ctrl),
+     ( .clk_i(clk_i),.rst_i(reset),.ena_i(rx_ctrl),.dbg_i(debug_enabled),
        .rx_in_i_i(rx_adc_i_i),.rx_in_q_i(rx_adc_q_i),
        .rx_strobe_o(rx_strobe_o),.rx_i_o(rx_ech_i_o),.rx_q_o(rx_ech_q_o) );
    

Modified: 
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/fpga/lib/radar_config.vh
===================================================================
--- 
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/fpga/lib/radar_config.vh
       2007-07-31 01:43:01 UTC (rev 6087)
+++ 
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/fpga/lib/radar_config.vh
       2007-07-31 02:21:24 UTC (rev 6088)
@@ -29,6 +29,7 @@
 `define bmFR_RADAR_META         32'b1 << 3
 `define bmFR_RADAR_DERAMP       32'b1 << 4
 `define bmFR_RADAR_CHIRPS       32'b11 << 5
+`define bmFR_RADAR_DEBUG        32'b1 << 7
 
 `define FR_RADAR_TON            `FR_USER_1
 `define FR_RADAR_TSW            `FR_USER_2

Modified: 
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/fpga/lib/radar_control.v
===================================================================
--- 
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/fpga/lib/radar_control.v
       2007-07-31 01:43:01 UTC (rev 6087)
+++ 
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/fpga/lib/radar_control.v
       2007-07-31 02:21:24 UTC (rev 6088)
@@ -22,7 +22,7 @@
 `include "../lib/radar_config.vh"
 
 module radar_control(clk_i,saddr_i,sdata_i,s_strobe_i,
-                    reset_o,tx_side_o,
+                    reset_o,tx_side_o,dbg_o,
                     tx_strobe_o,tx_ctrl_o,rx_ctrl_o,
                     ampl_o,fstart_o,fincr_o);
 
@@ -35,6 +35,7 @@
    // Control and configuration outputs
    output       reset_o;
    output        tx_side_o;
+   output        dbg_o;
    output        tx_strobe_o;
    output        tx_ctrl_o;
    output        rx_ctrl_o;
@@ -61,8 +62,9 @@
    assign lp_ena    = mode[2];
    assign md_ena    = mode[3];
    assign dr_ena    = mode[4];
-   assign chirps    = mode[7:6];
-                                    
+   assign chirps    = mode[6:5];
+   assign dbg_o     = mode[7];
+   
    setting_reg #(`FR_RADAR_TON)    
sr_ton(.clock(clk_i),.reset(1'b0),.strobe(s_strobe_i),.addr(saddr_i),.in(sdata_i),
                                          .out(t_on));
    

Modified: 
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/fpga/lib/radar_rx.v
===================================================================
--- 
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/fpga/lib/radar_rx.v
    2007-07-31 01:43:01 UTC (rev 6087)
+++ 
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/fpga/lib/radar_rx.v
    2007-07-31 02:21:24 UTC (rev 6088)
@@ -22,14 +22,15 @@
 `include "../../../../usrp/firmware/include/fpga_regs_common.v"
 `include "../../../../usrp/firmware/include/fpga_regs_standard.v"
 
-module radar_rx(clk_i,rst_i,ena_i,
+module radar_rx(clk_i,rst_i,ena_i,dbg_i,
                rx_in_i_i,rx_in_q_i,
                rx_i_o,rx_q_o,rx_strobe_o);
    
    input clk_i;
    input rst_i;
    input ena_i;
-
+   input dbg_i;
+   
    input [15:0] rx_in_i_i;
    input [15:0] rx_in_q_i;
    
@@ -39,15 +40,13 @@
 
    reg [15:0] count;
 
-   // Temporary receiver debugging
    always @(posedge clk_i)
      if (rst_i | ~ena_i)
        count <= 16'b0;
      else
        count <= count + 16'b1;
 
-   wire [31:0] fifo_data = {count[15:0],16'hAA55};
-   // End temporary receiver debugging
+   wire [31:0] fifo_data = dbg_i ? {count[15:0],16'hAA55} : 
{rx_in_i_i,rx_in_q_i};
 
    // Need to buffer received samples as they come in at 32 bits per cycle
    // but the rx_buffer.v fifo is only 16 bits wide.

Modified: 
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/fpga/top/usrp_radar_mono.qsf
===================================================================
--- 
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/fpga/top/usrp_radar_mono.qsf
   2007-07-31 01:43:01 UTC (rev 6087)
+++ 
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/fpga/top/usrp_radar_mono.qsf
   2007-07-31 02:21:24 UTC (rev 6088)
@@ -326,7 +326,7 @@
 
        # Classic Timing Assignments
        # ==========================
-       set_global_assignment -name DUTY_CYCLE 50 -section_id SCLK
+set_global_assignment -name DUTY_CYCLE 50 -section_id SCLK
 set_global_assignment -name FMAX_REQUIREMENT "1 MHz" -section_id SCLK
 
 # end CLOCK(SCLK)
@@ -337,7 +337,7 @@
 
        # Classic Timing Assignments
        # ==========================
-       set_global_assignment -name DUTY_CYCLE 50 -section_id master_clk
+set_global_assignment -name DUTY_CYCLE 50 -section_id master_clk
 set_global_assignment -name FMAX_REQUIREMENT "64 MHz" -section_id master_clk
 
 # end CLOCK(master_clk)
@@ -348,7 +348,7 @@
 
        # Classic Timing Assignments
        # ==========================
-       set_global_assignment -name DUTY_CYCLE 50 -section_id usbclk
+set_global_assignment -name DUTY_CYCLE 50 -section_id usbclk
 set_global_assignment -name FMAX_REQUIREMENT "48 MHz" -section_id usbclk
 
 # end CLOCK(usbclk)

Modified: 
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/fpga/top/usrp_radar_mono.rbf
===================================================================
(Binary files differ)

Modified: 
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/python/radar_mono.py
===================================================================
--- 
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/python/radar_mono.py
   2007-07-31 01:43:01 UTC (rev 6087)
+++ 
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/python/radar_mono.py
   2007-07-31 02:21:24 UTC (rev 6088)
@@ -35,6 +35,7 @@
 #bmFR_RADAR_MODE_DR      = 1 << 3      # bit 3: enable on-board deramping
 #bmFR_RADAR_MODE_MD      = 1 << 4      # bit 4: enable echo metadata
 #bmFR_RADAR_MODE_CHIRPS  = 3 << 5      # bit 5,6: number of chirp center 
frequencies
+bmFR_RADAR_DEBUG        = 1 << 7        # bit 7: enable debugging mode
 
 FR_RADAR_TON    = usrp.FR_USER_1       # 16-bit transmitter on time in clocks
 FR_RADAR_TSW    = usrp.FR_USER_2       # 16-bit transmitter switch time in 
clocks
@@ -109,8 +110,6 @@
 
     def set_amplitude(self, ampl):
        self._amplitude = int(ampl*9946/100.0) # CORDIC gain correction
-        if self._debug:
-            print "Writing amplitude register with:", hex(self._amplitude)
         self._u._write_fpga_reg(FR_RADAR_AMPL, self._amplitude)
 
     def set_freq(self, center_freq, chirp_width):
@@ -207,21 +206,21 @@
         self._subdev.set_gain(self._gain)
 
     def start(self):
-        if self._debug:
+        if self._verbose:
             print "Starting receiver flow graph."
         self._fg.start()
 
     def wait(self):
-        if self._debug:
+        if self._verbose:
             print "Waiting for threads..."
         self._fg.wait()
 
     def stop(self):
-        if self._debug:
+        if self._verbose:
             print "Stopping receiver flow graph."
         self._fg.stop()
         self.wait()
-        if self._debug:
+        if self._verbose:
             print "Receiver flow graph stopped."
 
 class radar:
@@ -237,20 +236,15 @@
                              verbose=self._verbose, debug=self._debug)
        self.set_reset(True)
        self.set_tx_board(self._trans.subdev_spec())
-                       
+        self.set_debug(self._debug)
+        
     def _write_mode(self):
-        if self._debug:
-            print "Writing mode register with:", hex(self._mode)
         self._trans._u._write_fpga_reg(FR_RADAR_MODE, self._mode)
 
     def set_reset(self, value):
        if value:
-            if self._debug:
-                print "Asserting reset."
            self._mode |= bmFR_RADAR_MODE_RESET
        else:
-            if self._debug:
-                print "De-asserting reset."
            self._mode &= ~bmFR_RADAR_MODE_RESET
        self._write_mode()
 
@@ -261,18 +255,12 @@
            self._mode &= ~bmFR_RADAR_TXSIDE
        self._write_mode()
        
-    """
-    def set_loopback(self, value):
+    def set_debug(self, value):
        if value:
-            if self._verbose:
-                print "Enabling digital loopback."
-           self._mode |= bmFR_RADAR_MODE_LP
+           self._mode |= bmFR_RADAR_DEBUG
        else:
-            if self._verbose:
-                print "Disabling digital loopback."
-           self._mode &= ~bmFR_RADAR_MODE_LP
+           self._mode &= ~bmFR_RADAR_DEBUG
        self._write_mode()
-    """
     
     def set_ton(self, ton):
        self._trans.set_ton(ton)





reply via email to

[Prev in Thread] Current Thread [Next in Thread]