commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] r8860 - usrp2/trunk/fpga/sdr_lib


From: matt
Subject: [Commit-gnuradio] r8860 - usrp2/trunk/fpga/sdr_lib
Date: Thu, 10 Jul 2008 16:01:34 -0600 (MDT)

Author: matt
Date: 2008-07-10 16:01:34 -0600 (Thu, 10 Jul 2008)
New Revision: 8860

Modified:
   usrp2/trunk/fpga/sdr_lib/hb_dec.v
Log:
remove unused signal


Modified: usrp2/trunk/fpga/sdr_lib/hb_dec.v
===================================================================
--- usrp2/trunk/fpga/sdr_lib/hb_dec.v   2008-07-10 21:04:47 UTC (rev 8859)
+++ usrp2/trunk/fpga/sdr_lib/hb_dec.v   2008-07-10 22:01:34 UTC (rev 8860)
@@ -134,7 +134,6 @@
      add3 
(.clk(clk),.in1(prod1[35:36-MWIDTH]),.in2(prod2[35:36-MWIDTH]),.sum(sum_of_prod));
 
    wire [ACCWIDTH-1:0]         acc_out;
-   wire [OWIDTH-1:0]   acc_round;
    
    acc #(.IWIDTH(MWIDTH),.OWIDTH(ACCWIDTH)) 
      acc (.clk(clk),.clear(clear),.acc(do_acc),.in(sum_of_prod),.out(acc_out));





reply via email to

[Prev in Thread] Current Thread [Next in Thread]