commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] r8894 - in usrp2/trunk/fpga: coregen eth eth/rtl/veril


From: matt
Subject: [Commit-gnuradio] r8894 - in usrp2/trunk/fpga: coregen eth eth/rtl/verilog top/u2_core
Date: Tue, 15 Jul 2008 17:44:45 -0600 (MDT)

Author: matt
Date: 2008-07-15 17:44:44 -0600 (Tue, 15 Jul 2008)
New Revision: 8894

Added:
   usrp2/trunk/fpga/coregen/fifo_xlnx_512x36_2clk.asy
   usrp2/trunk/fpga/coregen/fifo_xlnx_512x36_2clk.ngc
   usrp2/trunk/fpga/coregen/fifo_xlnx_512x36_2clk.sym
   usrp2/trunk/fpga/coregen/fifo_xlnx_512x36_2clk.v
   usrp2/trunk/fpga/coregen/fifo_xlnx_512x36_2clk.veo
   usrp2/trunk/fpga/coregen/fifo_xlnx_512x36_2clk.vhd
   usrp2/trunk/fpga/coregen/fifo_xlnx_512x36_2clk.vho
   usrp2/trunk/fpga/coregen/fifo_xlnx_512x36_2clk.xco
   usrp2/trunk/fpga/coregen/fifo_xlnx_512x36_2clk_fifo_generator_v4_3_xst_1.lso
   
usrp2/trunk/fpga/coregen/fifo_xlnx_512x36_2clk_fifo_generator_v4_3_xst_1.ngc_xst.xrpt
   usrp2/trunk/fpga/coregen/fifo_xlnx_512x36_2clk_flist.txt
   usrp2/trunk/fpga/coregen/fifo_xlnx_512x36_2clk_readme.txt
   usrp2/trunk/fpga/coregen/fifo_xlnx_512x36_2clk_xmdf.tcl
Modified:
   usrp2/trunk/fpga/eth/mac_txfifo_int.v
   usrp2/trunk/fpga/eth/rtl/verilog/MAC_top.v
   usrp2/trunk/fpga/top/u2_core/u2_core.v
Log:
moved clock-domain crossing out of ethernet core because either the original 
code is bad, or xilinx can't synthesize it properly.  This wastes a block ram 
because there are now 2 fifos, but it gets rid of the intermittent bad packets 
we were seeing.


Added: usrp2/trunk/fpga/coregen/fifo_xlnx_512x36_2clk.asy
===================================================================
--- usrp2/trunk/fpga/coregen/fifo_xlnx_512x36_2clk.asy                          
(rev 0)
+++ usrp2/trunk/fpga/coregen/fifo_xlnx_512x36_2clk.asy  2008-07-15 23:44:44 UTC 
(rev 8894)
@@ -0,0 +1,41 @@
+Version 4
+SymbolType BLOCK
+TEXT 32 32 LEFT 4 fifo_xlnx_512x36_2clk
+RECTANGLE Normal 32 32 544 672
+LINE Wide 0 80 32 80
+PIN 0 80 LEFT 36
+PINATTR PinName din[35:0]
+PINATTR Polarity IN
+LINE Normal 0 144 32 144
+PIN 0 144 LEFT 36
+PINATTR PinName wr_en
+PINATTR Polarity IN
+LINE Normal 0 176 32 176
+PIN 0 176 LEFT 36
+PINATTR PinName wr_clk
+PINATTR Polarity IN
+LINE Normal 0 240 32 240
+PIN 0 240 LEFT 36
+PINATTR PinName rd_en
+PINATTR Polarity IN
+LINE Normal 0 272 32 272
+PIN 0 272 LEFT 36
+PINATTR PinName rd_clk
+PINATTR Polarity IN
+LINE Normal 144 704 144 672
+PIN 144 704 BOTTOM 36
+PINATTR PinName rst
+PINATTR Polarity IN
+LINE Wide 576 80 544 80
+PIN 576 80 RIGHT 36
+PINATTR PinName dout[35:0]
+PINATTR Polarity OUT
+LINE Normal 576 208 544 208
+PIN 576 208 RIGHT 36
+PINATTR PinName full
+PINATTR Polarity OUT
+LINE Normal 576 432 544 432
+PIN 576 432 RIGHT 36
+PINATTR PinName empty
+PINATTR Polarity OUT
+

Added: usrp2/trunk/fpga/coregen/fifo_xlnx_512x36_2clk.ngc
===================================================================
--- usrp2/trunk/fpga/coregen/fifo_xlnx_512x36_2clk.ngc                          
(rev 0)
+++ usrp2/trunk/fpga/coregen/fifo_xlnx_512x36_2clk.ngc  2008-07-15 23:44:44 UTC 
(rev 8894)
@@ -0,0 +1,3 @@
+XILINX-XDB 0.1 STUB 0.1 ASCII
+XILINX-XDM V1.4e
+$42f4d<,[o}e~g`n;"2*413&;$?"<>!1!&2?5?<89:;<=>?0528456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?01235>7438$;=6<>2:11>LHW]]0OEL2<1;2=57=4:address@hidden<address@hidden:6=0>3:11>JSSX\^1HBL33083:1=4;;9:>6=9:HLSQQ<cag6897>115902?IR\Y__6iazt=16>5853:2o7>}=012ec131%<;08<?44;address@hidden>4?>0380?OIX\^1HD^M<283:44<<3E^X][[:EMSE95=87;address@hidden>;7082<2<>address@hidden<0=8:=67;;823=7=>>=12:;7>2:;9MKVR\3KOH_O38;2=57=>address@hidden<768o057GAPTV9twi`Wlg{xtQ{hsgpl9>=878>744FNQWW>uthoVof|ywPtipfwmYf{zoyx1650?06?<<NFY__6}|`g^gntqX|axneQaefcwa9>=87;o744FNQWW>uthoVl~`aQ{hsgpl9>=878?744FNQWW>uthoVl~`aQ{hsgplZgt{lx054?>368=?OIX\^1|ah_gwohZrozlycSckhaug?<?6991126B[[PTV9`drfWje~by27:1<2f>?=G\^[YY4}d^fbpdYdg|d054?>0`8=?IR\Y__6z|Pd`vb[firf}636=07;@FGVD:7611JHI\N<0<;?DBCZH69255NDEPB868?3HNO^L2;>address@hidden<437LJKR@>5:==FLMXJ0:0n;@FGVD:?29437LJKR@>;:==FLMXI0=07;@FGVG:6611JHI\M<3<;?DBCZK68255NDEPA818?3HNO^O2:>address@hidden>4:d=FLMXI054?>address@hidden<J\837O[\_HLEK4=D<2IJ4L<address@hidden@address@hidden<address@hidden;1<address@hidden;EKB8479?2NBM1?=>69GMD:6;7=0HDO315<4?AOF48?5;6JFA=35:2=CAH6:;394DHC?5=803MCJ0<716:FJE979?2NBM1<?>69GMD:597=0HDO323<4?AOF4;95;6JFA=07:2=CAH699394DHC?63803MCJ0?917:FJE94?6>address@hidden;:7=0HDO331<:?AOF4:;1<394DHC?74813MCJ0>09;EKB81813MCJ0809;EKB83813MCJ0:09;EKB8=813MCJ0409;EKA85803MCI0<>17:FJF9766>1OEO2>address@hidden;9:4<7IGM<06=3>BNJ5;>2:5KIC>22;1<address@hidden:08;EKA84>9?2NBN1?6>79GMG:66>address@hidden;:84<7IGM<30=3>BNJ5882:5KIC>10;1<address@hidden>808;EKA8709?2NBN1<8>69GMG:507=0HDL328<5?AOE4;4<7IGM<22==>BNJ59:6=08;EKA8679>2NBN1=16:FJF929>2NBN1;16:FJF909>2NBN1916:FJF9>9>2NBN1717:FJTD:76>address@hidden;427IG_A=194;1<address@hidden>08;EKSF969?2NB\O2>>69GMUD;:730HD^M<283:2=CAYH7?384DNC?4;1<LFK7==08;EMB8479?2NDM1?=>69GKD:6;7=0HBO315<4?AIF48?5;address@hidden:2=CGH6:;394DNC?5=803MEJ0<716:FLE979?2NDM1<?>69GKD:597=0HBO323<4?AIF4;95;address@hidden:2=CGH699394DNC?63803MEJ0?917:FLE94?6>address@hidden;:7=0HBO331<:?AIF4:;1<394DNC?74813MEJ0>09;EMB81813MEJ0809;EMB83813MEJ0:09;EMB8=813MEJ0409;EMA85803MEI0<>17:FLF9766>1OCO2>address@hidden;9:4<7IAM<06=3>BHJ5;>2:5KOC>22;1<LFH7=:08;EMA84>9?2NDN1?6>79GKG:66>address@hidden;:84<7IAM<30=3>BHJ5882:5KOC>10;1<LFH7>808;EMA8709?2NDN1<8>69GKG:507=0HBL328<5?AIE4;4<7IAM<22==>BHJ59:6=08;EMA8679>2NDN1=16:FLF929>2NDN1;16:FLF909>2NDN1916:FLF9>9>2NDN1717:FLTD:76>address@hidden;427IA_A=194;1<LFZJ0>08;EMSF969?2ND\O2>>69GKUD;:730HB^M<283:address@hidden<2L9J=<4F908BA5<NMI?7KJLE29E@@5<address@hidden:K36>O6:2C9>6G<8:KMMQVX8920ECG[P^22<>OIA]ZT<?64IOKWTZ6402CEEY^P05:8MKOSXV:>46GAIUR\43><AGC_\R>89:KMMQUSI]O<address@hidden>OIA]U;=:5FNHV\471<AGC_S==8;HLJPZ63?2CEEYQ?569JJLRX8?=0ECG[_154?LHN\V:3;address@hidden<address@hidden>L7:KMMQY7L>1BBDZP0D58MKOSW9L<address@hidden>OIA]U:=:5FNHV\571<AGC_S<=8;HLJPZ73?2CEEYQ>569JJLRX9?=0ECG[_054?LHN\V;3;address@hidden@DBXR?L7:KMMQY6L>1BBDZP1D58MKOSW8L<address@hidden>OIA]U9=:5FNHV\671<AGC_S?=8;HLJPZ43?2CEEYQ=569JJLRX:?=0ECG[_354?LHN\V83;address@hidden>address@hidden<L7:KMMQY5L>1BBDZP2D58MKOSW;L<address@hidden>OIA]U8=:5FNHV\771<AGC_S>=8;HLJPZ53?2CEEYQ<569JJLRX;?=0ECG[_254?LHN\V93;address@hidden@DBXR=L7:KMMQY4L>1BBDZP3D58MKOSW:address@hidden:NJ6>JH>2FDMIKK7:NLCLEFD=1GYY?;;address@hidden;VF?7A[[449NWBII=2G^TNWl;LcikwPbzzcdbn5BiomqR`ttafd97C?<;O330>H688>0B<>=3:L251=I98??7C?>659M54133G;:495A10;0?K75<2D:>=:4N0020>H6:;>0B<<<4:L2612<F88>86@>2768J440<2D:>5:4N00:7>H6;=1E=>>;;O3051=I9:8?7C?<359M56233G;8995A1247?K74?=1E=>6;;O30=6=I9=>0B<:?4:L2042<F8>986@>4268J423<2D:88:4N0650>H6<>>0B<:74:L20<5<F8??7C?:059M50733G;>>>5A1718J4143G;3?6@>929M655<F;;87C<=3:L176=I:=90B?;<;O057>H5?:1E>5=4N3;0?K57;2D8=>5A3318J6543G9??6@<529M735<F:=87C=73:L0=6=I<990B9?=;O41?K153G297C7<;O;57>H>?:1E54h4NC]AQVVNFVZYC]]8;OGWSJTL<2DDBH?4O39LO4=W<address@hidden;SCNF40X[:<T_>8>;address@hidden@address@hidden>2YDY_MJ7:QQRDJXI>1X^[OC_C68WVTF<2YX^O:4TXRF77=R8&myj#|i/fa{*fjlp&GscQ}d^rmpwY`kVrd~=>?0^Pfwpjs9:80Y=!hrg,qb*adp'iggu!Bxnp\vaYwf}xTknQwos2344YUmzgx<==;T2,cw`)zo%lou
 lljz,I}iuW{nT|cz}_fa\|jt7898T^h}zlu306>S7'nxm"h 
gbz-gim'Drd~R|k_qlwvZadWqey<=><_Sgpqir6;;1^<"i}f/pe+be&jf`t"Cwos]q`Zvi|{UloRv`r1230ZTb{|f=><4U1-dvc(un&mht#mcky-N|jtXzmU{by|Pgb]{kw678<UYi~{ct011?P6(o{l%~k!hcy,`hn~(EqeySjPpovq[beXpfx;<=8PRdqvhq74:2_;#j|i.sd,cf~)address@hidden:??5Z0.eqb+ta'nis"nbdx.O{kwYpzVzexQhc^zlv5678VXnxb{1208Q5)`zo$yj"ilx/aoo})JpfxT{Qnup\cfYg{:;<<Q]erwop4553\:$kh!rg-dg}(ddbr$Aua}_vp\tkruWniTtb|?010\V`urd};8>6[?/fpe*w`(ojr%oaew/LzlvZquWyd~Ril_ymq4564W[oxyaz>339V4*aun'xm#jmw.bnh|*Kg{U|~R~ats]dgZ~hz9:;8R\jstnw564<]9%l~k
 }f.e`|+ekcq%Ftb|Pws]sjqtXojUsc>?04]Qavsk|8997X> gsd-vc)`kq$h`fv 
Mymq[rtXxg~ySjmPxnp3450XZly~`y?<2:W3+bta&{l$knv!cmi{+H~hzV}yS}`{r^e`[}iu89:<S_k|umv264=R8&myj#|i/fa{*fjlp&xoS}`{r^`jj969:81^<"i}f/pe+be&jf`t"|k_qlwvZdnf5;5><5Z0.eqb+ta'nis"nbdx.pg[uhszVhbb1<1209V4*aun'xm#jmw.bnh|*tcWyd~Rlfn=1=64=R8&myj#|i/fa{*fjlp&xoS}`{r^`jj929:81^<"i}f/pe+be&jf`t"|k_qlwvZdnf5?5><5Z0.eqb+ta'nis"nbdx.pg[uhszVhbb181209V4*aun'xm#jmw.bnh|*tcWyd~Rlfn=5=64=R8&myj#|i/fa{*fjlp&xoS}`{r^`jj9>9:91^<"i}f/pe+be&jf`t"|k_qlwvZdnfV:9<6[?/fpe*w`(ojr%oaew/sf\tkruWkceS<<?;T2,cw`)zo%lou
 
lljz,vaYwf}xTnd`P2328Q5)`zo$yj"ilx/aoo})ulVzexQmio]065=R8&myj#|i/fa{*fjlp&xoS}`{r^`jjZ2582_;#j|i.sd,cf~)keas#jPpovq[goiW<8;7X>
 gsd-vc)`kq$h`fv 
re]sjqtXj`dT:?>4U1-dvc(un&mht#mcky-q`Zvi|{UiecQ8219V4*aun'xm#jmw.bnh|*tcWyd~Rlfn^:12>S7'nxm"h
 
gbz-gim'{nT|cz}_ckm[}iu89:;>:5Z0.eqb+ta'nis"nbdx.pg[uhszVhbbRv`r12344413\:$kh!rg-dg}(ddbr$~iQnup\flhXpfx;<=?=7:W3+bta&{l$knv!cmi{+wbXxg~ySoga_ymq45669;<0Y=!hrg,qb*adp'iggu!}d^rmpwYeagUsc>?0304?P6(o{l%~k!hcy,`hn~(zmU{by|Pbhl\|jt7898:>;5Z0.eqb+ta'nis"nbdx.pg[uhszVhbbRv`r123771<]9%l~k
 
}f.e`|+ekcq%yhR~ats]amkYg{:;<><=6:W3+bta&{l$knv!cmi{+wbXxg~ySoga_ymq4563:>1^<"i}f/pe+be&jf`t"|k_qlwvZdnfVrd~=>?4005?P6(o{l%~k!hcy,`hn~(zmU{by|Pbhl\|jt789?9;6[?/fpe*w`(ojr%oaew/sf\tkruWkceSua}0126570<]9%l~k
 }f.e`|+ekcq%yhR~ats]amkYg{:;<;<l;T2,cw`)zo%lou 
lljz,vaYwf}xTnd`Pxnp345069VY\<?m4U1-dvc(un&mht#mcky-q`Zvi|{UiecQwos234376WZ]:>:5Z0.eqb+ta'nis"nbdx.pg[uhszVhbbRv`r12327413\:$kh!rg-dg}(ddbr$~iQnup\flhXpfx;<=9=7:W3+bta&{l$knv!cmi{+wbXxg~ySoga_ymq45609;:0Y=!hrg,qb*adp'iggu!}d^rmpwY`k5:5>=5Z0.eqb+ta'nis"nbdx.pg[uhszVmh0<0=0:W3+bta&{l$knv!cmi{+wbXxg~ySjm32?03?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb>0:76<]9%l~k
 
}f.e`|+ekcq%yhR~ats]dg929:91^<"i}f/pe+be&jf`t"|k_qlwvZad4<49<6[?/fpe*w`(ojr%oaew/sf\tkruWni7:3<?;T2,cw`)zo%lou
 
lljz,vaYwf}xTkn28>328Q5)`zo$yj"ilx/aoo})ulVzexQhc=:=5c=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[57a3\:$kh!rg-dg}(ddbr$~iQnup\cfY69o1^<"i}f/pe+be&jf`t"|k_qlwvZadW;;m7X>
 gsd-vc)`kq$h`fv re]sjqtXojU8=k5Z0.eqb+ta'nis"nbdx.pg[uhszVmhS9?i;T2,cw`)zo%lou 
lljz,vaYwf}xTknQ:1g9V4*aun'xm#jmw.bnh|*tcWyd~Ril_73e?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]45c=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[=413\:$kh!rg-dg}(ddbr$~iQnup\cfYf{{ol0=0=6:W3+bta&{l$knv!cmi{+wbXxg~ySjmParpfc979:?1^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyij2=>348Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`a;;78=7X>
 gsd-vc)`kq$h`fv re]sjqtXojUjkh<5<12>S7'nxm"h 
gbz-gim'{nT|cz}_fa\evtbo5?5>;5Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef>5:70<]9%l~k 
}f.e`|+ekcq%yhR~ats]dgZgtzlm7;3<9;T2,cw`)zo%lou 
lljz,vaYwf}xTknQnssgd8=85=2_;#j|i.sd,cf~)keas#jPpovq[beXizxnkR>=5:W3+bta&{l$knv!cmi{+wbXxg~ySjmParpfcZ75=2_;#j|i.sd,cf~)keas#jPpovq[beXizxnkR<=5:W3+bta&{l$knv!cmi{+wbXxg~ySjmParpfcZ55=2_;#j|i.sd,cf~)keas#jPpovq[beXizxnkR:=5:W3+bta&{l$knv!cmi{+wbXxg~ySjmParpfcZ35=2_;#j|i.sd,cf~)keas#jPpovq[beXizxnkR8=5:W3+bta&{l$knv!cmi{+wbXxg~ySjmParpfcZ15=2_;#j|i.sd,cf~)keas#jPpovq[beXizxnkR6=9:W3+bta&{l$knv!cmi{+wbXxg~ySjmParpfcZb6494956[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg^f2848512_;#j|i.sd,cf~)keas#jPpovq[beXizxnkRj><3<1=>S7'nxm"h
 
gbz-gim'{nT|cz}_fa\evtboVn:0>0=9:W3+bta&{l$knv!cmi{+wbXxg~ySjmParpfcZb64=4956[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg^f2808512_;#j|i.sd,cf~)keas#jPpovq[beXizxnkRj><7<1=>S7'nxm"h
 
gbz-gim'{nT|cz}_fa\evtboVn:0:0=9:W3+bta&{l$knv!cmi{+wbXxg~ySjmParpfcZb6414946[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg^f2[54?3\:$kh!rg-dg}(ddbr$~iQnup\cfYf{{olSi?P13:8Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aXl8U9>55Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]g5Z5502_;#j|i.sd,cf~)keas#jPpovq[beXizxnkRj>_50;?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`Wm;T9?64U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\`4Y1:11^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyijQk1^51<>S7'nxm"h
 gbz-gim'{nT|cz}_fa\evtboVn:S5<:;T2,cw`)zo%lou 
lljz,vaYwf}xTknQwos2345423\:$kh!rg-dg}(ddbr$~iQnup\cfYg{:;<<<:;T2,cw`)zo%lou 
lljz,vaYwf}xTknQwos2347423\:$kh!rg-dg}(ddbr$~iQnup\cfYg{:;<><:;T2,cw`)zo%lou 
lljz,vaYwf}xTknQwos2341423\:$kh!rg-dg}(ddbr$~iQnup\cfYg{:;<8<:;T2,cw`)zo%lou 
lljz,vaYwf}xTknQwos2343423\:$kh!rg-dg}(ddbr$~iQnup\cfYg{:;<:<>;T2,cw`)zo%lou 
lljz,swYwf}xTnd`30?02?P6(o{l%~k!hcy,`hn~({U{by|Pbhl?5;463\:$kh!rg-dg}(ddbr${Qnup\flh;:78:7X>
 gsd-vc)`kq$h`fv ws]sjqtXj`d7?3<>;T2,cw`)zo%lou 
lljz,swYwf}xTnd`34?02?P6(o{l%~k!hcy,`hn~({U{by|Pbhl?1;463\:$kh!rg-dg}(ddbr${Qnup\flh;>78:7X>
 gsd-vc)`kq$h`fv ws]sjqtXj`d7;3<>;T2,cw`)zo%lou 
lljz,swYwf}xTnd`38?03?P6(o{l%~k!hcy,`hn~({U{by|Pbhl\476<]9%l~k 
}f.e`|+ekcq%|~R~ats]amkY6:91^<"i}f/pe+be&jf`t"y}_qlwvZdnfV89<6[?/fpe*w`(ojr%oaew/vp\tkruWkceS><?;T2,cw`)zo%lou
 
lljz,swYwf}xTnd`P4328Q5)`zo$yj"ilx/aoo})pzVzexQmio]665=R8&myj#|i/fa{*fjlp&}yS}`{r^`jjZ0582_;#j|i.sd,cf~)keas#z|Ppovq[goiW>8;7X>
 gsd-vc)`kq$h`fv 
ws]sjqtXj`dT4?84U1-dvc(un&mht#mcky-tvZvi|{UiecQwos2345403\:$kh!rg-dg}(ddbr${Qnup\flhXpfx;<=>>279V4*aun'xm#jmw.bnh|*quWyd~Rlfn^zlv5679;=0Y=!hrg,qb*adp'iggu!xr^rmpwYeagUsc>?00312>S7'nxm"h
 
gbz-gim'~xT|cz}_ckm[}iu89:9>:5Z0.eqb+ta'nis"nbdx.uq[uhszVhbbRv`r12364413\:$kh!rg-dg}(ddbr${Qnup\flhXpfx;<===7:W3+bta&{l$knv!cmi{+rtXxg~ySoga_ymq4564:;<0Y=!hrg,qb*adp'iggu!xr^rmpwYeagUsc>?0504?P6(o{l%~k!hcy,`hn~({U{by|Pbhl\|jt789>:>;5Z0.eqb+ta'nis"nbdx.uq[uhszVhbbRv`r123171<]9%l~k
 
}f.e`|+ekcq%|~R~ats]amkYg{:;<8?=6:W3+bta&{l$knv!cmi{+rtXxg~ySoga_ymq4561:j1^<"i}f/pe+be&jf`t"y}_qlwvZdnfVrd~=>?603\WR65k2_;#j|i.sd,cf~)keas#z|Ppovq[goiWqey<=>910]PS4403\:$kh!rg-dg}(ddbr${Qnup\flhXpfx;<=8=279V4*aun'xm#jmw.bnh|*quWyd~Rlfn^zlv567?;=0Y=!hrg,qb*adp'iggu!xr^rmpwYeagUsc>?06314>S7'nxm"h
 
gbz-gim'~xT|cz}_fa?4;473\:$kh!rg-dg}(ddbr${Qnup\cf:66;:0Y=!hrg,qb*adp'iggu!xr^rmpwY`k585>=5Z0.eqb+ta'nis"nbdx.uq[uhszVmh0>0=0:W3+bta&{l$knv!cmi{+rtXxg~ySjm34?03?P6(o{l%~k!hcy,`hn~({U{by|Pgb>6:76<]9%l~k
 
}f.e`|+ekcq%|~R~ats]dg909:91^<"i}f/pe+be&jf`t"y}_qlwvZad4>49<6[?/fpe*w`(ojr%oaew/vp\tkruWni743?i;T2,cw`)zo%lou
 
lljz,swYwf}xTknQ?1g9V4*aun'xm#jmw.bnh|*quWyd~Ril_03e?P6(o{l%~k!hcy,`hn~({U{by|Pgb]15c=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[67a3\:$kh!rg-dg}(ddbr${Qnup\cfY39o1^<"i}f/pe+be&jf`t"y}_qlwvZadW<;m7X>
 gsd-vc)`kq$h`fv ws]sjqtXojU==k5Z0.eqb+ta'nis"nbdx.uq[uhszVmhS:?i;T2,cw`)zo%lou 
lljz,swYwf}xTknQ7279V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqab:76;<0Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hi31?05?P6(o{l%~k!hcy,`hn~({U{by|Pgb]bwwc`4;49:6[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg=1=63=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumn6?2?84U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde?1;413\:$kh!rg-dg}(ddbr${Qnup\cfYf{{ol0;0=6:W3+bta&{l$knv!cmi{+rtXxg~ySjmParpfc919:?1^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyij27>378Q5)`zo$yj"ilx/aoo})pzVzexQhc^cpv`aX8;?0Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hiP1378Q5)`zo$yj"ilx/aoo})pzVzexQhc^cpv`aX:;?0Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hiP3378Q5)`zo$yj"ilx/aoo})pzVzexQhc^cpv`aX<;?0Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hiP5378Q5)`zo$yj"ilx/aoo})pzVzexQhc^cpv`aX>;?0Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hiP7378Q5)`zo$yj"ilx/aoo})pzVzexQhc^cpv`aX0;30Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hiPd0>3:7?<]9%l~k
 
}f.e`|+ekcq%|~R~ats]dgZgtzlmTh<2>>3;8Q5)`zo$yj"ilx/aoo})pzVzexQhc^cpv`aXl8692?74U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde\`4:46;30Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hiPd0>7:7?<]9%l~k
 
}f.e`|+ekcq%|~R~ats]dgZgtzlmTh<2:>3;8Q5)`zo$yj"ilx/aoo})pzVzexQhc^cpv`aXl86=2?74U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde\`4:06;30Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hiPd0>;:7><]9%l~k
 
}f.e`|+ekcq%|~R~ats]dgZgtzlmTh<Q?299V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabYc9V;946[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg^f2[74?3\:$kh!rg-dg}(ddbr${Qnup\cfYf{{olSi?P33:8Q5)`zo$yj"ilx/aoo})pzVzexQhc^cpv`aXl8U?>55Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]g5Z3502_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnkRj>_70;?P6(o{l%~k!hcy,`hn~({U{by|Pgb]bwwc`Wm;T;?64U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde\`4Y?:<1^<"i}f/pe+be&jf`t"y}_qlwvZadWqey<=>?249V4*aun'xm#jmw.bnh|*quWyd~Ril_ymq4566:<1^<"i}f/pe+be&jf`t"y}_qlwvZadWqey<=>=249V4*aun'xm#jmw.bnh|*quWyd~Ril_ymq4564:<1^<"i}f/pe+be&jf`t"y}_qlwvZadWqey<=>;249V4*aun'xm#jmw.bnh|*quWyd~Ril_ymq4562:<1^<"i}f/pe+be&jf`t"y}_qlwvZadWqey<=>9249V4*aun'xm#jmw.bnh|*quWyd~Ril_ymq4560:h1^<"i}f/pe+bj7&address@hidden<]9%l~k
 
}f.eo4+tc'nx:"hxfu-QEHYULVOESKYIT0]26<=R8&myj#|i/fn3*wb(o{;%~kyit.PBIZTBOF__SH@>329V4*aun'xm#jb?.sf,cw7)zo}mx"mzrs]escrX{}kiRH\M^DE`4513\:$kh!rg-dh5(ul&my=#|iwgv,gptuWo}mxR}{aug\BVKXNOn:!D`<8:W3+bta&{l$ka>!re-dv4(un~l#n{}r^dtbqYt|h~nSK]B_GDg5(Oi98987X>
 
gsd-vc)`d9$yh"i}1/pescr(k|xySkyit^qweqcXNZGTJKj=379V4*aun'xm#jb?.sf,cw7)zo}mx"mzrs]escrX{}kiRH\M^DE`7+Nf:20Y=!hrg,qb*ak8'xo#j|>.sdtbq)d}{xTjzh{_rvbp`YA[DUMJi<"Io326==R8&myj#|i/fn3*wb(o{;%~kyit.gntqXn~lSkl=7:W3+bta&{l$ka>!re-dv4(un~l#hctx]escrXa;o0Y=!hrg,qb*ak8'xo#j|>.sdtbq)bey~rSkyit^k\kw67898m7X>
 gsd-vc)`d9$yh"i}1/pescr(mdzuRhxfu]j[jt789::>45Z0.eqb+ta'nf;"j 
gscp*wus{&i;#no}rxlfp969:01^<"i}f/pe+bj7&{n$ko|.sqww*e7'jky~t`jt=3=6<=R8&myj#|i/fn3*wb(o{kx"}{s.a3+fguzpdnx1<1289V4*aun'xm#jb?.sf,cwgt&{y"m?/bcqv|hb|595>k5Z0.eqb+ta'nf;"j
 gscp*wus{&i;#jczx/en_5[)od;%a<<i;T2,cw`)zo%l`= 
}d.eqev(u{}y$o=!hmtz-ch]6U'mf#c|2g9V4*aun'xm#jb?.sf,cwgt&{y"m?/fov|+ajS;W%k`}!mr0e?P6(o{l%~k!hl1,q`*auiz$yy}
 
c1-dip~)odQ8Q#ibs/op6c=R8&myj#|i/fn3*wb(o{kx"}{s.a3+bkrp'mfW9S!glq-iv443\:$kh!rg-dh5(ul&mym~
 }suq,g5)q95:5>>5Z0.eqb+ta'nf;"j 
gscp*wus{&i;#{?31?00?P6(o{l%~k!hl1,q`*auiz$yy} 
c1-u5949::1^<"i}f/pe+bj7&{n$ko|.sqww*e7';7?3<<;T2,cw`)zo%l`= 
}d.eqev(u{}y$o=!y1=6=6g=R8&myj#|i/fn3*wb(o{kx"}{s.a3+s7X8Vkeh=>?000a?P6(o{l%~k!hl1,q`*auiz$yy}
 c1-u5Z7Xign;<=>>2c9V4*aun'xm#jb?.sf,cwgt&{y"m?/w3\6Zgil9:;<<<m;T2,cw`)zo%l`= 
}d.eqev(u{}y$o=!y1^1\ekb789::>o5Z0.eqb+ta'nf;"j 
gscp*wus{&i;#{?P4^llp56788827X> 
gsd-vc)`d9$yh"i}ar,qwqu(k8%hm|vndv?4;4>3\:$kh!rg-dh5(ul&mym~ 
}suq,g4)di{xrbhz31?0:?P6(o{l%~k!hl1,q`*auiz$yy} c0-`ewt~fl~7>3<6;T2,cw`)zo%l`= 
}d.eqev(u{}y$o<!laspzj`r;;78m7X> 
gsd-vc)`d9$yh"i}ar,qwqu(k8%laxv!glY3Y+aj9'g:>k5Z0.eqb+ta'nf;"j 
gscp*wus{&i:#jczx/en_4[)ody%a~<i;T2,cw`)zo%l`= 
}d.eqev(u{}y$o<!hmtz-ch]5U'mf#c|2g9V4*aun'xm#jb?.sf,cwgt&{y"m>/fov|+ajS:W%k`}!mr0e?P6(o{l%~k!hl1,q`*auiz$yy}
 c0-dip~)odQ?Q#ibs/op66=R8&myj#|i/fn3*wb(o{kx"}{s.a2+s7;87887X> 
gsd-vc)`d9$yh"i}ar,qwqu(k8%}=1?1229V4*aun'xm#jb?.sf,cwgt&{y"m>/w3?6;443\:$kh!rg-dh5(ul&mym~
 }suq,g4)q9595>>5Z0.eqb+ta'nf;"j 
gscp*wus{&i:#{?34?0a?P6(o{l%~k!hl1,q`*auiz$yy} 
c0-u5Z6Xign;<=>>2c9V4*aun'xm#jb?.sf,cwgt&{y"m>/w3\5Zgil9:;<<<m;T2,cw`)zo%l`= 
}d.eqev(u{}y$o<!y1^0\ekb789::>o5Z0.eqb+ta'nf;"j 
gscp*wus{&i:#{?P3^cm`567888i7X> 
gsd-vc)`d9$yh"i}ar,qwqu(k8%}=R:Pnnv34566:91^<"i}f/pe+bj7&{n$ko|.sqww*ehey:9<6[?/fpe*w`(oe:%~i!hr`q-vvrt'jef|<<6;T2,cw`)zo%l`=
 }d.eqev(u{}y$~lcPelrw}Z`eW`9;7X> 
gsd-vc)`d9$yh"i}ar,qwqu(zhgTi`~{y^da[lYhz9:;<>?4U1-dvc(un&mg<#|k/fpbw+tt|z%ym`Qjmqvz[cdXaVey<=>?1318Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkr5<2_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkfex<<;;T2,cw`)zo%l`=
 }d.psjqt(Eje~byQlotlw672<]9%l~k 
}f.eo4+tc'{zex!BcnwmpZeh}g~8>95Z0.eqb+ta'nf;"j 
rqlwv*Kdg|dSnaznu610>S7'nxm"h gm2-va)address@hidden|<8?7X> 
gsd-vc)`d9$yh"|nup,Ifirf}Uhcx`{6368Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkr0:=1^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~by6=9:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmpZe~4949o6[?/fpe*w`(oe:%~i!}povq+Heh}g~Tob{at^az858Xz}827X>
 gsd-vc)`d9$yh"|nup,Ifirf}Uhcx`{_b{?5;4d3\:$kh!rg-dh5(ul&x{by| 
MbmvjqYdg|dSnw31?]qp7?<]9%l~k 
}f.eo4+tc'{zex!BcnwmpZeh}g~Tot2=>3a8Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkrXkp692R|{289V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjqYdq595>n5Z0.eqb+ta'nf;"j
 
rqlwv*Kdg|dSnaznu]`}959W{~956[?/fpe*w`(oe:%~i!}povq+Heh}g~Tob{at^az8185k2_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkfexRmv<5<\vq4>3\:$kh!rg-dh5(ul&x{by|
 
MbmvjqYdg|dSnw35?0`?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphsWjs793Q}t3;8Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkrXkp6=2?m4U1-dvc(un&mg<#|k/srmpw)JkfexRm`uov\g|:16Vx>45Z0.eqb+ta'nf;"j
 
rqlwv*Kdg|dSnaznu]`}919:j1^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~byQly=5=[wr5i2_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkfexRv`r=2=6d=R8&myj#|i/fn3*wb(zyd~"Clotlw[firf}Usc2>>3c8Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkrXpfx7>3<n;T2,cw`)zo%l`=
 
}d.psjqt(Eje~byQlotlw[}iu4:49m6[?/fpe*w`(oe:%~i!}povq+Heh}g~Tob{at^zlv929:h1^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~byQwos>6:7g<]9%l~k
 
}f.eo4+tc'{zex!BcnwmpZeh}g~Ttb|36?0b?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphsWqey0:0=a:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmpZ~hz525>i5Z0.eqb+ta'nf;"j
 rqlwv*Kdg|dSnaznu]{kw:?6Vx=k5Z0.eqb+ta'nf;"j rqlwv*eh}g~7<3?i;T2,cw`)zo%l`= 
}d.psjqt(kfex1?11g9V4*aun'xm#jb?.sf,vuhsz&idycz32?3e?P6(o{l%~k!hl1,q`*twf}x$ob{at=1=5c=R8&myj#|i/fn3*wb(zyd~"m`uov?0;7a3\:$kh!rg-dh5(ul&x{by|
 cnwmp9399o1^<"i}f/pe+bj7&{n$~}`{r.alqkr;>7;m7X> 
gsd-vc)`d9$yh"|nup,gjsi|5=5=k5Z0.eqb+ta'nf;"j rqlwv*eh}g~743?j;T2,cw`)zo%l`= 
}d.psjqt(kfexR>>e:W3+bta&{l$ka>!re-qtkru'je~byQ>1d9V4*aun'xm#jb?.sf,vuhsz&idyczP20g8Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_23f?P6(o{l%~k!hl1,q`*twf}x$ob{at^62a>S7'nxm"h
 gm2-va)uxg~y#naznu]65`=R8&myj#|i/fn3*wb(zyd~"m`uov\24c<]9%l~k 
}f.eo4+tc'{zex!lotlw[27b3\:$kh!rg-dh5(ul&x{by| 
cnwmpZ>5:2_;#j|i.sd,ci6)zm%y|cz}/bmvjqYc95:5>?5Z0.eqb+ta'nf;"j 
rqlwv*eh}g~Th<2>>308Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_e3?6;453\:$kh!rg-dh5(ul&x{by| 
cnwmpZb64:49>6[?/fpe*w`(oe:%~i!}povq+firf}Uo=1:1239V4*aun'xm#jb?.sf,vuhsz&idyczPd0>6:74<]9%l~k
 }f.eo4+tc'{zex!lotlw[a7;>7897X> 
gsd-vc)`d9$yh"|nup,gjsi|Vn:0:0=2:W3+bta&{l$ka>!re-qtkru'je~byQk1=:=64=R8&myj#|i/fn3*wb(zyd~"m`uov\`4Y7:81^<"i}f/pe+bj7&{n$~}`{r.alqkrXl8U:><5Z0.eqb+ta'nf;"j
 
rqlwv*eh}g~Th<Q=209V4*aun'xm#jb?.sf,vuhsz&idyczPd0]064=R8&myj#|i/fn3*wb(zyd~"m`uov\`4Y3:81^<"i}f/pe+bj7&{n$~}`{r.alqkrXl8U>><5Z0.eqb+ta'nf;"j
 
rqlwv*eh}g~Th<Q9209V4*aun'xm#jb?.sf,vuhsz&idyczPd0]464=R8&myj#|i/fn3*wb(zyd~"m`uov\`4Y?:01^<"i}f/pe+bj7&~x$kzo|.vqww*e6'jky~t`jt=2=6<=R8&myj#|i/fn3*rt(o~kx"z}{s.a2+fguzpdnx1?1289V4*aun'xm#jb?.vp,crgt&~y"m>/bcqv|hb|585>45Z0.eqb+ta'nf;"z|
 
gvcp*rus{&i:#no}rxlfp959:o1^<"i}f/pe+bj7&~x$kzo|.vqww*e6'ng~t#ib[1_-ch7)e88m7X> 
gsd-vc)`d9$|~"ixar,twqu(k8%laxv!glY2Y+aj{'gx>k5Z0.eqb+ta'nf;"z| 
gvcp*rus{&i:#jczx/en_7[)ody%a~<i;T2,cw`)zo%l`= 
xr.etev(p{}y$o<!hmtz-ch]4U'mf#c|2g9V4*aun'xm#jb?.vp,crgt&~y"m>/fov|+ajS=W%k`}!mr00?P6(o{l%~k!hl1,tv*apiz$|y}
 c0-u5969::1^<"i}f/pe+bj7&~x$kzo|.vqww*e6';7=3<<;T2,cw`)zo%l`= 
xr.etev(p{}y$o<!y1=0=66=R8&myj#|i/fn3*rt(o~kx"z}{s.a2+s7;;7887X> 
gsd-vc)`d9$|~"ixar,twqu(k8%}=1:12c9V4*aun'xm#jb?.vp,crgt&~y"m>/w3\4Zgil9:;<<<m;T2,cw`)zo%l`=
 xr.etev(p{}y$o<!y1^3\ekb789::>o5Z0.eqb+ta'nf;"z| 
gvcp*rus{&i:#{?P2^cm`567888i7X> 
gsd-vc)`d9$|~"ixar,twqu(k8%}=R=Paof34566:k1^<"i}f/pe+bj7&~x$kzo|.vqww*e6';T8R``t123444>3\:$kh!rg-dh5(pz&m|m~
 xsuq,g7)di{xrbhz30?0:?P6(o{l%~k!hl1,tv*apiz$|y} 
c3-`ewt~fl~7=3<6;T2,cw`)zo%l`= xr.etev(p{}y$o?!laspzj`r;:7827X> 
gsd-vc)`d9$|~"ixar,twqu(k;%hm|vndv?7;4a3\:$kh!rg-dh5(pz&m|m~ 
xsuq,g7)`e|r%k`U?]/en5+k6:o1^<"i}f/pe+bj7&~x$kzo|.vqww*e5'ng~t#ib[0_-chu)ez8m7X>
 gsd-vc)`d9$|~"ixar,twqu(k;%laxv!glY1Y+aj{'gx>k5Z0.eqb+ta'nf;"z| 
gvcp*rus{&i9#jczx/en_6[)ody%a~<i;T2,cw`)zo%l`= 
xr.etev(p{}y$o?!hmtz-ch]3U'mf#c|229V4*aun'xm#jb?.vp,crgt&~y"m=/w3?4;443\:$kh!rg-dh5(pz&m|m~
 xsuq,g7)q95;5>>5Z0.eqb+ta'nf;"z| 
gvcp*rus{&i9#{?32?00?P6(o{l%~k!hl1,tv*apiz$|y} 
c3-u5959::1^<"i}f/pe+bj7&~x$kzo|.vqww*e5';783<m;T2,cw`)zo%l`= 
xr.etev(p{}y$o?!y1^2\ekb789::>o5Z0.eqb+ta'nf;"z| 
gvcp*rus{&i9#{?P1^cm`567888i7X> 
gsd-vc)`d9$|~"ixar,twqu(k;%}=R<Paof34566:k1^<"i}f/pe+bj7&~x$kzo|.vqww*e5';T?Road123444e3\:$kh!rg-dh5(pz&m|m~
 
xsuq,g7)q9V>Tbbz?012265=R8&myj#|i/fn3*rt(o~kx"z}{s.aliu7582_;#j|i.sd,ci6){%l{l}!wrvp+fijx;837X>
 gsd-vc)`d9$|~"ixar,twqu(zhgTjxbc_g`\m73<]9%l~k 
}f.eo4+qu'n}j#y|tr-qehYa}efTe?m4U1-dvc(un&mg<#y}/fubw+qt|z%ym`Qiumn\mZiu89:;>i5Z0.eqb+ta'nf;"z|
 gvcp*rus{&xjaRhzlm]j[jt789::=i5Z0.eqb+ta'nf;"z| 
r`o\swYbfVc:=k5Z0.eqb+ta'nf;"z| r`o\swYbfVc:S<<<;T2,cw`)zo%l`= 
xr.usjqt(Eje~byQlotlw61=R8&myj#|i/fn3*rt(yd~"Clotlw[firf};986[?/fpe*w`(oe:%{!xpovq+Heh}g~Tob{at307?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphs;;>0Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|Vidycz;259V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjq35<2_;#j|i.sd,ci6){%||cz}/LalqkrXkfex;<;;T2,cw`)zo%l`=
 xr.usjqt(Eje~byQlotlw372<]9%l~k 
}f.eo4+qu'~zex!BcnwmpZeh}g~3>45Z0.eqb+ta'nf;"z| 
wqlwv*Kdg|dSnaznu]`}969:j1^<"i}f/pe+bj7&~x${}`{r.O`kphsWje~byQly=2=[wr512_;#j|i.sd,ci6){%||cz}/LalqkrXkfexRmv<0<1g>S7'nxm"h
 
gm2-sw)address@hidden|Vir0<0Pru0:?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphsWjs7>3<l;T2,cw`)zo%l`=
 
xr.usjqt(Eje~byQlotlw[f;:7Uyx?74U1-dvc(un&mg<#y}/vrmpw)JkfexRm`uov\g|:46;i0Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|VidyczPcx>0:Zts:01^<"i}f/pe+bj7&~x${}`{r.O`kphsWje~byQly=6=6f=R8&myj#|i/fn3*rt(yd~"Clotlw[firf}Uhu1:1_sv1=>S7'nxm"h
 
gm2-sw)address@hidden|Vir080=c:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmpZe~4<4T~y<6;T2,cw`)zo%l`=
 xr.usjqt(Eje~byQlotlw[f;>78h7X> 
gsd-vc)`d9$|~"ynup,Ifirf}Uhcx`{_b{?2;Yu|;30Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|VidyczPcx>4:7e<]9%l~k
 
}f.eo4+qu'~zex!BcnwmpZeh}g~Tot28>^pw6d=R8&myj#|i/fn3*rt(yd~"Clotlw[firf}Usc2?>3c8Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkrXpfx7=3<n;T2,cw`)zo%l`=
 
xr.usjqt(Eje~byQlotlw[}iu4;49m6[?/fpe*w`(oe:%{!xpovq+Heh}g~Tob{at^zlv959:h1^<"i}f/pe+bj7&~x${}`{r.O`kphsWje~byQwos>7:7g<]9%l~k
 
}f.eo4+qu'~zex!BcnwmpZeh}g~Ttb|35?0b?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphsWqey0;0=a:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmpZ~hz5=5>l5Z0.eqb+ta'nf;"z|
 
wqlwv*Kdg|dSnaznu]{kw:?6;n0Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|VidyczPxnp?<;Yu|8l0Y=!hrg,qb*ak8'}y#z~ats-`kphs494:j6[?/fpe*w`(oe:%{!xpovq+firf}6:2<h4U1-dvc(un&mg<#y}/vrmpw)dg|d0?0>f:W3+bta&{l$ka>!ws-ttkru'je~by2<>0d8Q5)`zo$yj"ic0/uq+rvi|{%hcx`{<5<2b>S7'nxm"h
 gm2-sw)pxg~y#naznu>6:4`<]9%l~k 
}f.eo4+qu'~zex!lotlw8386n2_;#j|i.sd,ci6){%||cz}/bmvjq:068l0Y=!hrg,qb*ak8'}y#z~ats-`kphs414:i6[?/fpe*w`(oe:%{!xpovq+firf}U;=h5Z0.eqb+ta'nf;"z|
 wqlwv*eh}g~T=<k4U1-dvc(un&mg<#y}/vrmpw)dg|dS??j;T2,cw`)zo%l`= 
xr.usjqt(kfexR=>e:W3+bta&{l$ka>!ws-ttkru'je~byQ;1d9V4*aun'xm#jb?.vp,suhsz&idyczP50g8Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_73f?P6(o{l%~k!hl1,tv*qwf}x$ob{at^52a>S7'nxm"h
 
gm2-sw)pxg~y#naznu];67=R8&myj#|i/fn3*rt(yd~"m`uov\`4:76;80Y=!hrg,qb*ak8'}y#z~ats-`kphsWm;7=3<=;T2,cw`)zo%l`=
 xr.usjqt(kfexRj><3<16>S7'nxm"h 
gm2-sw)pxg~y#naznu]g5959:;1^<"i}f/pe+bj7&~x${}`{r.alqkrXl86?2?<4U1-dvc(un&mg<#y}/vrmpw)dg|dSi?35?01?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f28385:2_;#j|i.sd,ci6){%||cz}/bmvjqYc95=5>?5Z0.eqb+ta'nf;"z|
 wqlwv*eh}g~Th<27>338Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e3\477<]9%l~k 
}f.eo4+qu'~zex!lotlw[a7X9;;0Y=!hrg,qb*ak8'}y#z~ats-`kphsWm;T>??4U1-dvc(un&mg<#y}/vrmpw)dg|dSi?P3338Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e3\077<]9%l~k
 
}f.eo4+qu'~zex!lotlw[a7X=;;0Y=!hrg,qb*ak8'}y#z~ats-`kphsWm;T:??4U1-dvc(un&mg<#y}/vrmpw)dg|dSi?P7338Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e3\<74<]9%l~k
 }f.eo4+qu'~zex!lotlw[a4;87897X> 
gsd-vc)`d9$|~"ynup,gjsi|Vn90<0=2:W3+bta&{l$ka>!ws-ttkru'je~byQk2=0=67=R8&myj#|i/fn3*rt(yd~"m`uov\`7:46;80Y=!hrg,qb*ak8'}y#z~ats-`kphsWm8783<=;T2,cw`)zo%l`=
 xr.usjqt(kfexRj=<4<16>S7'nxm"h 
gm2-sw)pxg~y#naznu]g6909:;1^<"i}f/pe+bj7&~x${}`{r.alqkrXl;6<2?<4U1-dvc(un&mg<#y}/vrmpw)dg|dSi<38?02?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f1[5463\:$kh!rg-dh5(pz&}{by|
 cnwmpZb5W88:7X> gsd-vc)`d9$|~"ynup,gjsi|Vn9S?<>;T2,cw`)zo%l`= 
xr.usjqt(kfexRj=_202?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f1[1463\:$kh!rg-dh5(pz&}{by|
 cnwmpZb5W<8:7X> gsd-vc)`d9$|~"ynup,gjsi|Vn9S;<>;T2,cw`)zo%l`= 
xr.usjqt(kfexRj=_602?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f1[=713\:$kh!rg-nah)cg|~TeR>>6:W3+bta&{l$ahc
 
dnww[lY69>1^<"i}f/pe+hcj'me~xRgP1134?P6(o{l%~k!bel-gkprXaV;:=:5Z0.eqb+ta'dof#iazt^k\57703\:$kh!rg-nah)cg|~TeR?<169V4*aun'xm#`kb/emvpZoX9=;<7X>
 
gsd-vc)jmd%ocxzPi^3652=R8&myj#|i/lgn+air|VcT=;?8;T2,cw`)zo%fi`!kotv\mZ709>1^<"i}f/pe+hcj'me~xRgP1934?P6(o{l%~k!bel-gkprXaV;2=;5Z0.eqb+ta'dof#iazt^k\641<]9%l~k
 }f.ofi*bh}}UbS?>>7:W3+bta&{l$ahc 
dnww[lY598=0Y=!hrg,qb*kbe&ndyyQf_3023>S7'nxm"h 
mdo,`jssW`U9?<94U1-dvc(un&gna"j`uu]j[726?2_;#j|i.sd,i`k(lfSdQ=5058Q5)`zo$yj"cjm.flqqYnW;<:;6[?/fpe*w`(elg$hb{{_h]1341<]9%l~k
 }f.ofi*bh}}UbS?6>7:W3+bta&{l$ahc 
dnww[lY518<0Y=!hrg,qb*kbe&ndyyQf_234?P6(o{l%~k!bel-gkprXaV9;=:5Z0.eqb+ta'dof#iazt^k\74703\:$kh!rg-nah)cg|~TeR==169V4*aun'xm#`kb/emvpZoX;:;<7X>
 
gsd-vc)jmd%ocxzPi^1752=R8&myj#|i/lgn+air|VcT?8?9;T2,cw`)zo%fi`!kotv\mZ26>2_;#j|i.sd,i`k(lfSdQ:179V4*aun'xm#`kb/emvpZoX>8<0Y=!hrg,qb*kbe&ndyyQf_635?P6(o{l%~k!bel-gkprXaV2::6[?/fpe*w`(elg$hb{{_h]:5<=R8&myj#|i/lgn+air|Vgna1>11`9V4*aun'xm#`kb/emvpZkbe5;;2<o4U1-dvc(un&gna"j`uu]nah:697;j7X>
 
gsd-vc)jmd%ocxzPmdo?5786i2_;#j|i.sd,i`k(lfS`kb<01=5d=R8&myj#|i/lgn+air|Vgna1?;>0c8Q5)`zo$yj"cjm.flqqYjmd6:93?n;T2,cw`)zo%fi`!kotv\i`k;9?4:m6[?/fpe*w`(elg$hb{{_lgn84199h1^<"i}f/pe+hcj'me~xRcjm=3;:4g<]9%l~k
 
}f.ofi*bh}}Ufi`2>9?3:?P6(o{l%~k!bel-gkprXelg7=3?n;T2,cw`)zo%fi`!kotv\i`k;:94:m6[?/fpe*w`(elg$hb{{_lgn87799h1^<"i}f/pe+hcj'me~xRcjm=01:4g<]9%l~k
 }f.ofi*bh}}Ufi`2=3?3b?P6(o{l%~k!bel-gkprXelg7>90>a:W3+bta&{l$ahc 
dnww[hcj4;?5=l5Z0.eqb+ta'dof#iazt^ofi94168k0Y=!hrg,qb*kbe&ndyyQbel>13;7f3\:$kh!rg-nah)cg|~Tahc329<2e>S7'nxm"h
 
mdo,`jssWdof0?71189V4*aun'xm#`kb/emvpZkbe585=l5Z0.eqb+ta'dof#iazt^ofi95768k0Y=!hrg,qb*kbe&ndyyQbel>05;7f3\:$kh!rg-nah)cg|~Tahc333<2e>S7'nxm"h
 
mdo,`jssWdof0>=11`9V4*aun'xm#`kb/emvpZkbe59?2<o4U1-dvc(un&gna"j`uu]nah:4=7;27X> 
gsd-vc)jmd%ocxzPmdo?7;7>3\:$kh!rg-nah)cg|~Tahc34?3:?P6(o{l%~k!bel-gkprXelg793?6;T2,cw`)zo%fi`!kotv\i`k;>7;27X>
 
gsd-vc)jmd%ocxzPmdo?3;7>3\:$kh!rg-nah)cg|~Tahc38?3:?P6(o{l%~k!bel-gkprXelg7538j;T2,cw`)zo%fi`!hbl,dfha)okglm#`heba-fha(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjdfe{W=S!r`o-v*p5Wgebbdz!r`o,`u51p:<%~lc>3:W3+bta&{l$~lcPre]fj41<]9%l~k
 }f.pbiZtbofSh`>3:W3+bta&{l$~lcPws]fj4b<]9%l~k 
}f.pppgjl'{nT~~zParpfc76<]9%l~k 
}f.pppgjl'{nT~~zParpfcZb6:91^<"i}f/pe+wusjea$~iQ}su]bwwc`Wm8:o6[?/fpe*w`(zz~i`f!}d^pppZehek;o7X>
 gsd-vc)u{}hgg"|k_sqw[fijj8;n7X> 
gsd-vc)u{}hgg"|k_sqw[wc`484:i6[?/fpe*w`(zz~i`f!}d^pppZtbo585=i5Z0.eqb+ta'{ynae 
re]qwqYumnU:=i5Z0.eqb+ta'{ynae re]qwqYumnU9=i5Z0.eqb+ta'{ynae 
ws]qwqYf{{ol>=5Z0.eqb+ta'{ynae 
ws]qwqYf{{olSi?=0:W3+bta&{l$~~zmlj-tvZtt|Vkx~hiPd33`?P6(o{l%~k!}su`oo*quW{ySnabb0f8Q5)`zo$yj"||tcnh+rtXzz~Tobcm10g8Q5)`zo$yj"||tcnh+rtXzz~T~hi30?3f?P6(o{l%~k!}su`oo*quW{ySkh<0<2`>S7'nxm"h
 rrvahn)pzVxxxR|jg^22`>S7'nxm"h 
rrvahn)pzVxxxR|jg^3b?PUBZVKGEL]l;address@hidden>5YCB;8RLCPW]S[I>address@hidden@De3^XBXHQIISQWg>address@hidden>!1!QWQG&7&8*J_NGF5:address@hidden;YQW[BHC?2RXXRXLCc9[[FIUMVCEJB84Xe`\Ma`<PmbT\gbVdppmjh682RoaRCnjnpUawungg;;7Ujb_LkmkwPbzzcdbn5nllmppZcjx}si7lbborv\bpjk>2hjof{d:`bgnswWdkygh|<;bnh`>bf|hUhcx`{(1+g?agsiVidycz'1(f8`drfWje~by&=)e9geqgXkfex%=&d:fbpdYdg|d$9'k;ecweZeh}g~#9$j4d`vb[firf}"=%i5kauc\gjsi|!="h6jnt`]`kphs
 
1#m7io{a^alqkr;03:5;6jmiugqv3=cag";%;5kio*2-2=cag":<$94dhl+54/03mce$<<&7:fjj-74!>1oec&>4(58`lh/9<#<7iga(04*3>bnf!;<%:5kio*2<,1<l`d#=4'9;ekm,7/03mce$?>&7:fjj-46!>1oec&=2(58`lh/::#<7iga(36*3>bnf!8>%:5kio*12,1<l`d#>:'8;ekm,7>.?2nbb%<6)79gmk.4!>1oec&<0(58`lh/;8#<7iga(20*3>bnf!98%:5kio*00,1<l`d#?8'9;ekm,1/13mce$8'9;ekm,3/13mce$:'9;ekm,=/13mce$4'9;ekm85803mce0<>17:fjj9766>1oec2>2?58`lh;9:4<7iga<06=3>bnf5;>2:5kio>22;1<l`d7=:08;ekm84>9?2nbb1?6>79gmk:66>1oec2=0?58`lh;:84<7iga<30=3>bnf5882:5kio>10;1<l`d7>808;ekm8709?2nbb1<8>69gmk:507=0hd`328<5?aoi4;4<7iga<22=3>bnf59:2:5kio>06;1<l`d7?>08;ekm862912nbb1=::1<4?aoi4:?5:6jfn=1=2>bnf5>5:6jfn=7=2>bnf5<5:6jfn=5=2>bnf525:6jfn=;=3>bh}}";%:5kotv+5,><lf$<>&8:flqq.69
 20hb{{(00*<>bh}}":?$64dnww,42.02ndyy&>5(:8`jss 
8<"46j`uu*23,><lf$<6&8:flqq.61 
=0hb{{(3+;?air|!8;%55kotv+64/?3me~x%<=)99gkpr/::#37iazt)07-==cg|~#>8'7;emvp-41!11ocxz'26+;?air|!83%55kotv+6</03me~x%=&8:flqq.48
 20hb{{(23*<>bh}}"8>$64dnww,65.02ndyy&<4(:8`jss 
:?";6j`uu*7-2=cg|~#9$94dnww,3/03me~x%9&7:flqq.?!>1ocxz'9(58`jss49437iazt=33:==cg|~7=<07;emvp975611ocxz312<;?air|5;?255kotv?508?3me~x1?9>99gkpr;9>437iazt=3;:==cg|~7=408;emvp97902ndyy2=0?:8`jss4;;546j`uu>16;><lf0?=18:flqq:5<720hb{{<37=<>bh}}69:364dnww871902ndyy2=8?:8`jss4;35;6j`uu>1:==cg|~7?=07;emvp956611ocxz333<;?air|598255kotv?718f3me~x1=::1<;?air|59>2:5kotv?7;1<lf0908;emvp939?2ndyy29>69gkpr;?7=0hb{{<9<4?air|53546kkig0mca3<mdzu><4fhdl[bcim{kcQy4^1/$]okagr+OB\J
 
Fgmawgsg{*:<#?!0336?coagVrgbuQ:13z02Z4ddb987kgio^zoj}Y29;r8:R<llj.emciXoldn~lz`r^t7[6*IGGO'address@hidden;>2y15[7ekc%lbjbQheogqeqiuW>T?!ul_icp[lhsWjf`1="l_icp[vtt|4:'oR|k_gpfu87+kVbjR|k_ecweZeh}g~6<!mPdhl\slbs`49=
 
nQgar]tvZbf|hUhcx`{=1.`[cskdVlgmj}Prrv\rdj:9%iTe`~celgmpdrnggUu}k22-a\qvcXmdhnhikk_sgd95*dW~xTjk~=0.`[pubWme~xR||t<2/gZsillxm`byPlnu>4)eX`hyTc{k}fmmt95*dWyxn`bok_mcwake~59&hSiazt^uj`qn:;?&hS`}hoo]uei;6$jUyhRjjpuj>144+kVbjR{addpehjq:8%iTdl}PamolwqYa}ef6<!mPh`q\vvr:9%iThlzn_bmvjqYpam~c14"l_icp[rtXija6<!mPurg\afe:8%iTobcboo]`hjel59&hSz|Pabi\hjq:8%iT~iQnup\slbs`43'oR{|e^dtbqYci}kTob{at<2/gZnf{Vkgab}{_dosp|;7$jUyhRjnt`]`kphsW~coxe36,b]lr`tadf}T`by20-a\swYwf}xT{dj{h<;/gZvugnUna}zv_u{sa86+kV}ySio{a^alqkrX`nd07#c^rqaiiflVxnk~3>,b]gkprXzz~Tzlb20-a\lduXlh~jSnaznu?3(fYwzfmTjxbc_ujqavnXflmjxhQyam?655*dW~xThh~{h<726)eXx{elShctx]wlwct`Vdnklzj_wco90*dWyxdkRkbpu{\pmtb{aUj~k}t^tbh82+kVbjRxnlhf>4)eXx{elSk{cl^vkv`uoWhyxizPv`n>147+kfg{cckat^lbi`;aaoeTta`w_431|60X:jf`
 
nQyamkg[iip59&hS}|fm^djbjYsqyo69<<w37.`[uthoVl~`aQ{yqg>4)eXelgd~tQ{yqg>5){5n2lbjbQwloz\144;?U9oaePfhdl[bcim{kcQy4^1\|vrX9=1myab8;hlw[fjl02dloo{fle:8kscunee|m6~}of]fiur~9=1{~biPelrw}Zrozlyc$='>4:rqkbYbey~rSyf}erj+5,733yxdkRkbpu{\pmtb{a"9%<:4psmd[`kw|pUdk|h)1*51=wzfmTi`~{y^vkv`uo
 
=#:86~}of]fiur~W}byi~f'5(37?uthoVof|ywPtipfwm.1!8>0|ah_dosp|Ys`{oxd%9&159svjaXmdzuRzgrdqk,=/6>2zycjQjmqvz[qnumzb747>11c9svjaXmdzuRzgrdqk[dutm{~#<$?m;qplcZcjx}sTxe|jsi]bwvcu|!;"=o5rne\ahvsqV~c~h}g_`qpawr/:
 
;i7}|`g^gntqX|axneQnsrgqp-5.9k1{~biPelrw}ZrozlycSl}|esv+0,7e3yxdkRkbpu{\pmtb{aUj~k}t)7*5g=wzfmTi`~{y^vkv`uoWhyxiz'6(3a?uthoVof|ywPtipfwmYf{zoyx%9&1c9svjaXmdzuRzgrdqk[dutm{~#4$?k;qplcZcjx}sTxe|jsi]bwvcu|521<3?m;qplcZcjx}sTxe|jsi]mabgsm!:"=o5rne\ahvsqV~c~h}g_ogdeqc/9
 
;i7}|`g^gntqX|axneQaefcwa-4.9k1{~biPelrw}ZrozlycSckhaug+7,7e3yxdkRkbpu{\pmtb{aUeijo{e)6*5g=wzfmTi`~{y^vkv`uoWgolmyk'5(3a?uthoVof|ywPtipfwmYimnki%8&1c9svjaXmdzuRzgrdqk[kc`i}o#;$?m;qplcZcjx}sTxe|jsi]mabgsm!2"=i5rne\ahvsqV~c~h}g_ogdeqc;03:556~}of]eqij6;2zycjQiumn\pmtb{a";%<=4psmd[cskdV~c~h}g(0+27>vugnUmyabPtipfwm.5!890|ah_gwohZrozlyc$>'>3:rqkbYa}efTxe|jsi*7-45<x{elSk{cl^vkv`uo
 
<#:?6~}of]eqijX|axne&9)018twi`Wog`Rzgrdqk,2/6;2zycjQiumn\pmtb{a"3%<;4psmd[cskdV~c~h}g<983:4g<x{elSk{cl^vkv`uoWhyxiz'0(3b?uthoVl~`aQ{hsgplZgt{lx$<'>a:rqkbYa}efTxe|jsi]bwvcu|!8"=l5rne\bpjkW}byi~fParqfvq.4!8k0|ah_gwohZrozlycSl}|esv+0,7f3yxdkRhzlm]wlwct`Vkxh|{(4+2e>vugnUmyabPtipfwmYf{zoyx%8&1`9svjaXn|fgSyf}erj\evubz}"<%<o4psmd[cskdV~c~h}g_`qpawr/0
 
;h7}|`g^dvhiYs`{oxdRo|sdpw8=<768k0|ah_gwohZrozlycSckhaug+4,7f3yxdkRhzlm]wlwct`Vdnklzj(0+2e>vugnUmyabPtipfwmYimnki%<&1`9svjaXn|fgSyf}erj\j`af|l"8%<o4psmd[cskdV~c~h}g_ogdeqc/<
 
;j7}|`g^dvhiYs`{oxdR`jg`vf,0/6i2zycjQiumn\pmtb{aUeijo{e)4*5d=wzfmTjxbc_ujqavnXflmjxh&8)0c8twi`Wog`Rzgrdqk[kc`i}o#4$?l;qplcZ`rdeUdk|h^lfcdrb410;2;5}d^aoo46<zmUomyoPcnwmp-6.991yhRjnt`]`kphs
 
8#:<6|k_ecweZeh}g~#>$??;sf\`drfWje~by&<)028vaYci}kTob{at)6*55=ulVnjxlQlotlw,0/682xoSio{a^alqkr/>
 
;;7jPd`vb[firf}"<%<>4re]geqgXkfex%6&119q`Zbf|hUhcx`{<1<26>tcWmkmRm`uov?<?69=2xoSh`9;sf\vvr43{y;6}miugqv1=tzz~9=6zbp.]`c*`nnfUs`cvP500{73Y5kea%bja;;upva<=rfmoyjaax5:tbhlb13~xTmne9;vp\gim682}ySio{a^alqkr/8
 
;;7z|Pd`vb[firf}":%<>4ws]geqgXkfex%<&119tvZbf|hUhcx`{(2+24>quWmkmRm`uov+0,773~xThlzn_bmvjq.2!8:0{Qkauc\gjsi|!<"==5xr^fbpdYdg|d$:'>0:uq[agsiVidycz'8(31?rtXlh~jSnaznu>;>5823~xTic84ws]qwq{GHyh:m6NOx5d`>C<328qX8o4;a386>455>1>j6>6l5bym7cc=92d8jk4;;%1eg?5a>2wX844;a386>455>1>j6>6l5b9P513=<hh1<7?<27:7e?5?k<n0_9754``94?74:?2?m7=7c4g8`1g2290:6<u\4c87e7<22899:5:n:2:`1f=q\8km6=4>:082<0}T<k0?m?4::0112=2f2:2h9n5+3g29510<^:lo6?uz15d95>s6=90;7p*>a68e?g2f=3:18k4<:5dxL6cb3S>;6?uk:b8~
 
4d32=k>7)=ib;6b0>o3n>0;66g:0b83>>i3i00;66a;a283>>o2880;66g;f883>>i3??0;6)?n9;6:=>h6i10;76a;7483>!7f13>256`>a982?>i3?=0;6)?n9;6:=>h6i10976a;7283>!7f13>256`>a980?>i3?;0;6)?n9;6:=>h6i10?76a;7083>!7f13>256`>a986?>i3>o0;6)?n9;6:=>h6i10=76a;6d83>!7f13>256`>a984?>i3>m0;6)?n9;6:=>h6i10376a;6b83>!7f13>256`>a98:?>i3>k0;6)?n9;6:=>h6i10j76a;6`83>!7f13>256`>a98a?>i3>00;6)?n9;6:=>h6i10h76a;6983>!7f13>256`>a98g?>i3>>0;6)?n9;6:=>h6i10n76a;6783>!7f13>256`>a98e?>i3>=0;6)?n9;6:=>h6i10:<65`47194?"6i00?545a1`:954=<g=<96=4+1`;90<?<f8k36<<4;n655?6=,8k26976;o3b<?7432e?:=4?:%3b=?2>12d:m54>4:9l00`=83.:m44;989m5d>=9<10c9;j:18'5d?=<030b<o7:048?j22l3:1(<o6:5;:?k7f03;<76a;5b83>!7f13>256`>a982<>=h<<h1<7*>a887=<=i9h21=454o55`>5<#9h318474n0c;>4g<3f><n7>5$0c:>1?>3g;j47?m;:m73d<72-;j57:69:l2e=<6k21d8:750;&2e<<3101e=l651e98k11?290/=l7548;8j4g?28o07b:87;29
 
4g>2=327c?n8;3e?>i3?90;6)?n9;6:=>h6i109<65`47794?"6i00?545a1`:964=<g=?j6=4+1`;90<?<f8k36?<4;n66=?6=,8k26976;o3b<?4432c?>i4?:%3b=?2282d:m54?;:k76f<72-;j57::0:l2e=<632c?>o4?:%3b=?2282d:m54=;:k76d<72-;j57::0:l2e=<432c?>44?:%3b=?2282d:m54;;:k76=<72-;j57::0:l2e=<232c?>;4?:%3b=?2282d:m549;:k760<72-;j57::0:l2e=<032c?>94?:%3b=?2282d:m547;:k766<72-;j57::0:l2e=<>32c?>?4?:%3b=?2282d:m54n;:k764<72-;j57::0:l2e=<e32c?>=4?:%3b=?2282d:m54l;:k75c<72-;j57::0:l2e=<c32c?=h4?:%3b=?2282d:m54j;:k75a<72-;j57::0:l2e=<a32c?=o4?:%3b=?2282d:m54>0:9j04g=83.:m44;519m5d>=9810e9?6:18'5d?=<<:0b<o7:008?l2603:1(<o6:573?k7f03;876g;1683>!7f13>><6`>a9820>=n<8<1<7*>a88715=i9h21=854i536>5<#9h3188>4n0c;>40<3`>:87>5$0c:>1373g;j47?8;:k756<72-;j57::0:l2e=<6021b8<<50;&2e<<3=91e=l651898m154290/=l754428j4g?28k07d:<2;29
 
4g>2=?;7c?n8;3a?>o3;80;6)?n9;664>h6i10:o65f42294?"6i00?9=5a1`:95a=<a=8m6=4+1`;9006<f8k36<k4;h61a?6=,8k269;?;o3b<?7a32c?>:4?:%3b=?2282d:m54=0:9j04e=83.:m44;519m5d>=:810e9?>:18'5d?=<<:0b<o7:308?l2683:1(<o6:573?k7f038876g;ec83>>d4mo0;6<4?:1yK7`c<,8h?6>ki;n3b2?6=3thm>7>51;294~N4ml1/=o:5f39lb4<722wi?k4?:c3956?=91?pD>kj;[63>40|:;0957<7:34962<5<3n1><4l:37966<5?3826i4=8;01>f<5>38>6?:522815?{#9k>18l64$061>c6<,=>18l84$5c90d1<,8k:6<o:;h6e<?6=3f>ho7>5;h6ef?6=3f>;<7>5;n6eb?6=3`>;?7>5;h6`4?6=,8k269m>;o3b<?6<3`>ij7>5$0c:>1e63g;j47?4;h6aa?6=,8k269m>;o3b<?4<3`>ih7>5$0c:>1e63g;j47=4;h6ag?6=,8k269m>;o3b<?2<3`>in7>5$0c:>1e63g;j47;4;h6ae?6=,8k269m>;o3b<?0<3`>i57>5$0c:>1e63g;j4794;h6a<?6=,8k269m>;o3b<?><3`>m;7>5;n6bg?6=3f?;m7>5$0c:>06e3g;j47>4;n73=?6=,8k268>m;o3b<?7<3f?;47>5$0c:>06e3g;j47<4;n733?6=,8k268>m;o3b<?5<3f?;:7>5$0c:>06e3g;j47:4;n731?6=,8k268>m;o3b<?3<3f?;87>5$0c:>06e3g;j4784;n737?6=,8k268>m;o3b<?1<3f?;>7>5$0c:>06e3g;j4764;n6e0?6=,8k269h9;o3b<?6<3f>m?7>5$0c:>1`13g;j47?4;n6e6?6=,8k269h9;o3b<?4<3f>m=7>5$0c:>1`13g;j47=4;n6e4?6=,8k269h9;o3b<?2<3f>nj7>5$0c:>1`13g;j47;4;n6fa?6=,8k269h9;o3b<?0<3f>nh7>5$0c:>1`13g;j4794;n6fg?6=,8k269h9;o3b<?><3`>n57>5$0c:>1cf3g;j47>4;h6f<?6=,8k269kn;o3b<?7<3`>n;7>5$0c:>1cf3g;j47<4;h6f2?6=,8k269kn;o3b<?5<3`>n97>5$0c:>1cf3g;j47:4;h6f0?6=,8k269kn;o3b<?3<3`>n?7>5$0c:>1cf3g;j4784;h6f6?6=,8k269kn;o3b<?1<3`>n=7>5$0c:>1cf3g;j4764;h73g?6=3f>;h7>5$0c:>16b3g;j47>4;n63g?6=,8k269>j;o3b<?7<3f>;n7>5$0c:>16b3g;j47<4;n63e?6=,8k269>j;o3b<?5<3f>;57>5$0c:>16b3g;j47:4;n63<?6=,8k269>j;o3b<?3<3f>;;7>5$0c:>16b3g;j4784;n632?6=,8k269>j;o3b<?1<3f>;97>5$0c:>16b3g;j4764;n6b=?6=3f>j?7>5;h6g1?6=,8k269j9;o3b<?6<3`>o87>5$0c:>1b13g;j47?4;h6g7?6=,8k269j9;o3b<?4<3`>o>7>5$0c:>1b13g;j47=4;h6g5?6=,8k269j9;o3b<?2<3`>o<7>5$0c:>1b13g;j47;4;h6`b?6=,8k269j9;o3b<?0<3`>hi7>5$0c:>1b13g;j4794;h6``?6=,8k269j9;o3b<?><3`?;=7>5;h6be?6=3`>;87>5;h73`?6=3f>mm7>5;n734?6=3f>;=7>5;n6ea?6=3`>m57>5;n642?6=,8k26976;o3b<?6<3f><97>5$0c:>1?>3g;j47?4;n640?6=,8k26976;o3b<?4<3f><?7>5$0c:>1?>3g;j47=4;n646?6=,8k26976;o3b<?2<3f><=7>5$0c:>1?>3g;j47;4;n65b?6=,8k26976;o3b<?0<3f>=i7>5$0c:>1?>3g;j4794;n65`?6=,8k26976;o3b<?><3f>=o7>5$0c:>1?>3g;j4774;n65f?6=,8k26976;o3b<?g<3f>=m7>5$0c:>1?>3g;j47l4;n65=?6=,8k26976;o3b<?e<3f>=47>5$0c:>1?>3g;j47j4;n653?6=,8k26976;o3b<?c<3f>=:7>5$0c:>1?>3g;j47h4;n650?6=,8k26976;o3b<?7732e?:>4?:%3b=?2>12d:m54>1:9l034=83.:m44;989m5d>=9;10c98>:18'5d?=<030b<o7:018?j2183:1(<o6:5;:?k7f03;?76a;5g83>!7f13>256`>a9821>=h<<o1<7*>a887=<=i9h21=;54o57g>5<#9h318474n0c;>41<3f>>o7>5$0c:>1?>3g;j47?7;:m71g<72-;j57:69:l2e=<6121d8:m50;&2e<<3101e=l651`98k11e290/=l7548;8j4g?28h07b:8a;29
 
4g>2=327c?n8;3`?>i3?00;6)?n9;6:=>h6i10:h65`46:94?"6i00?545a1`:95`=<g==<6=4+1`;90<?<f8k36<h4;n644?6=,8k26976;o3b<?4732e?:84?:%3b=?2>12d:m54=1:9l00g=83.:m44;989m5d>=:;10c9;6:18'5d?=<030b<o7:318?l2e>3:1(<o6:5`4?k7f03:07d:m5;29
 4g>2=h<7c?n8;38?l2e<3:1(<o6:5`4?k7f03807d:m3;29 
4g>2=h<7c?n8;18?l2e:3:1(<o6:5`4?k7f03>07d:m1;29 
4g>2=h<7c?n8;78?l2e83:1(<o6:5`4?k7f03<07d:nf;29 
4g>2=h<7c?n8;58?l2fm3:1(<o6:5`4?k7f03207d:=d;29 
4g>2=?;7c?n8;28?l25k3:1(<o6:573?k7f03;07d:=b;29 
4g>2=?;7c?n8;08?l25i3:1(<o6:573?k7f03907d:=9;29 
4g>2=?;7c?n8;68?l2503:1(<o6:573?k7f03?07d:=6;29 
4g>2=?;7c?n8;48?l25=3:1(<o6:573?k7f03=07d:=4;29 
4g>2=?;7c?n8;:8?l25;3:1(<o6:573?k7f03307d:=2;29 
4g>2=?;7c?n8;c8?l2593:1(<o6:573?k7f03h07d:=0;29 
4g>2=?;7c?n8;a8?l26n3:1(<o6:573?k7f03n07d:>e;29 
4g>2=?;7c?n8;g8?l26l3:1(<o6:573?k7f03l07d:>b;29 
4g>2=?;7c?n8;33?>o39h0;6)?n9;664>h6i10:=65f40;94?"6i00?9=5a1`:957=<a=;36=4+1`;9006<f8k36<=4;h623?6=,8k269;?;o3b<?7332c?=;4?:%3b=?2282d:m54>5:9j043=83.:m44;519m5d>=9?10e9?;:18'5d?=<<:0b<o7:058?l26;3:1(<o6:573?k7f03;376g;1383>!7f13>><6`>a982=>=n<:91<7*>a88715=i9h21=l54i511>5<#9h3188>4n0c;>4d<3`>8=7>5$0c:>1373g;j47?l;:k775<72-;j57::0:l2e=<6l21b8?h50;&2e<<3=91e=l651d98m14b290/=l754428j4g?28l07d:=7;29
 
4g>2=?;7c?n8;03?>o39j0;6)?n9;664>h6i109=65f40394?"6i00?9=5a1`:967=<a=;;6=4+1`;9006<f8k36?=4;h636?6=3`>oj7>5$0c:>1c73g;j47>4;h6ga?6=,8k269k?;o3b<?7<3`>oh7>5$0c:>1c73g;j47<4;h6gg?6=,8k269k?;o3b<?5<3`>on7>5$0c:>1c73g;j47:4;h6ge?6=,8k269k?;o3b<?3<3`>o57>5$0c:>1c73g;j4784;h6g<?6=,8k269k?;o3b<?1<3`>o;7>5$0c:>1c73g;j4764;h6`e?6=,8k269mm;o3b<?6<3`>h57>5$0c:>1ee3g;j47?4;h6`<?6=,8k269mm;o3b<?4<3`>h;7>5$0c:>1ee3g;j47=4;h6`2?6=,8k269mm;o3b<?2<3`>h97>5$0c:>1ee3g;j47;4;h6`0?6=,8k269mm;o3b<?0<3`>h?7>5$0c:>1ee3g;j4794;h6`6?6=,8k269mm;o3b<?><3f>jh7>5;n63b?6=3`>nn7>5;c1e=?6=93:1<v*>b58e6>N4n11C?hk4og394?=zj:lj6=4>:183!7e<39nj6F<f99K7`c<g8k=6=44}c1g`?6=;3:1<v*>b58fe>N4n11C?hk4H2g8
 
42c2=lo7)hi:09j04<722c?o7>5;n3bf?6=3th8i;4?:283>5}#9k>1il5G3g:8L6cb3A9n7)?;d;6e`>"an3;0e9?50;9j0f<722e:mo4?::a7ae=8391<7>t$0`7>`g<@:l37E=je:J0a>"6<m0?ji5+fg82?l262900e9m50;9l5dd=831vn>k;:186>5<7s-;i87ki;I1e<>N4ml1C?h5+15f90cb<,ol1=6g;1;29?l242900e9m50;9j5dg=831d=ll50;9~f6c229086=4?{%3a0?cf3A9m46F<ed9K7`=#9=n18kj4$gd95>o393:17d:l:188k4ge2900qo=kb;291?6=8r.:n94jf:J0b==O;lo0D>k4$06g>1`c3-lm6<5f4083>>o3;3:17d:l:188m4gf2900c<om:188yg5b;3:187>50z&2f1<bm2B8j55G3dg8
 
c`=92c?=7>5;h6`>5<<a8kj6=44o0ca>5<<uk9n>7>54;294~"6j=0ni6F<f99K7`c<,ol1=6g;1;29?l2d2900e<on:188k4ge2900qo=jc;290?6=8r.:n94je:J0b==O;lo0(kh51:k75?6=3`>h6=44i0cb>5<<g8ki6=44}c1ff?6=<3:1<v*>b58fa>N4n11C?hk4$gd95>o393:17d:l:188m4gf2900c<om:188yg4em3:187>50z&2f1<bm2B8j55G3dg8
 
c`=<2c?=7>5;h6`>5<<a8kj6=44o0ca>5<<uk98=7>54;294~"6j=0ni6F<f99K7`c<,ol1=6g;1;29?l2d2900e<on:188k4ge2900qo=<0;290?6=8r.:n94je:J0b==O;lo0(kh51:k75?6=3`>h6=44i0cb>5<<g8ki6=44}c0:a?6=<3:1<v*>b5820`=O;o20D>kj;h66>5<<a=n1<75f1`f94?=h9h>1<75rb3;g>5<3290;w)?m4;37a>N4n11C?hk4i5794?=n<m0;66g>ae83>>i6i=0;66sm28a94?2=83:p(<l;:06f?M5a02B8ih5f4483>>o3l3:17d?nd;29?j7f<3:17pl=9c83>1<729q/=o:515g8L6`?3A9ni6g;5;29?l2c2900e<ok:188k4g32900qo<6a;290?6=8r.:n94>4d9K7c><@:on7d:::188m1b=831b=lj50;9l5d2=831vn?l>:187>5<7s-;i87?;e:J0b==O;lo0e9;50;9j0a<722c:mi4?::m2e1<722wi>o>50;694?6|,8h?6<:j;I1e<>N4ml1b884?::k7`?6=3`;jh7>5;n3b0?6=3th9mk4?:583>5}#9k>1=9k4H2d;?M5bm2c?97>5;h6g>5<<a8ko6=44o0c7>5<<uk8ji7>54;294~"6j=0:8h5G3g:8L6cb3`>>6=44i5f94?=n9hn1<75`1`694?=zj;ko6=4;:183!7e<3;?i6F<f99K7`c<a=?1<75f4e83>>o6im0;66a>a583>>{e;8:1<7:50;2x
 
4d328>n7E=i8:J0a`=n<<0;66g;d;29?l7fl3:17b?n4;29?xd48o0;694?:1y'5g2=9=o0D>h7;I1fa>o3=3:17d:k:188m4gc2900c<o;:188yg57m3:187>50z&2f1<6<l1C?k64H2gf?l222900e9j50;9j5db=831d=l:50;9~f66c290?6=4?{%3a0?73m2B8j55G3dg8m13=831b8i4?::k2ea<722e:m94?::a75e=83>1<7>t$0`7>42b3A9m46F<ed9j00<722c?h7>5;h3b`?6=3f;j87>5;|`066<72=0;6=u+1c6951c<@:l37E=je:k71?6=3`>o6=44i0cg>5<<g8k?6=44}c116?6=<3:1<v*>b5820`=O;o20D>kj;h66>5<<a=n1<75f1`f94?=h9h>1<75rb202>5<3290;w)?m4;37a>N4n11C?hk4i5794?=n<m0;66g>ae83>>i6i=0;66sm33294?2=83:p(<l;:06f?M5a02B8ih5f4483>>o3l3:17d?nd;29?j7f<3:17pl<1g83>1<729q/=o:515g8L6`?3A9ni6g;5;29?l2c2900e<ok:188k4g32900qo?k6;290?6=8r.:n94jb:J0b==O;lo0(kh51:k75?6=3`>36=44i5a94?=h9hh1<75rb0f6>5<3290;w)?m4;ga?M5a02B8ih5+fg82?l262900e9650;9j0f<722e:mo4?::a5a2=83>1<7>t$0`7>`d<@:l37E=je:&eb?7<a=;1<75f4983>>o3k3:17b?nb;29?xd6l:0;694?:1y'5g2=mk1C?k64H2gf?!`a281b8<4?::k7<?6=3`>h6=44o0ca>5<<uk;o>7>54;294~"6j=0nn6F<f99K7`c<,ol1=6g;1;29?l2?2900e9m50;9l5dd=831vn<j>:187>5<7s-;i87km;I1e<>N4ml1/jk4>;h62>5<<a=21<75f4b83>>i6ik0;66sm1e294?2=83:p(<l;:d`8L6`?3A9ni6*if;38m17=831b854?::k7g?6=3f;jn7>5;|`2gc<72=0;6=u+1c69ag=O;o20D>kj;%de>4=n<80;66g;8;29?l2d2900c<om:188yg7dm3:187>50z&2f1<bj2B8j55G3dg8
 
c`=92c?=7>5;h6;>5<<a=i1<75`1``94?=zj;936=4;:183!7e<3oi7E=i8:J0a`=#no0:7d:>:188m1>=831b8n4?::m2eg<722wi>>950;694?6|,8h?6hl4H2d;?M5bm2.mj7?4i5394?=n<10;66g;c;29?j7fj3:17pl=3783>1<729q/=o:5ec9K7c><@:on7)hi:09j04<722c?47>5;h6`>5<<g8ki6=44}c001?6=<3:1<v*>b58ff>N4n11C?hk4$gd95>o393:17d:7:188m1e=831d=ll50;9~f753290?6=4?{%3a0?ce3A9m46F<ed9'bc<63`>:6=44i5:94?=n<j0;66a>ac83>>{e::91<7:50;2x
 
4d32lh0D>h7;I1fa>"an3;0e9?50;9j0=<722c?o7>5;n3bf?6=3th9??4?:583>5}#9k>1io5G3g:8L6cb3-lm6<5f4083>>o303:17d:l:188k4ge2900qo<<1;290?6=8r.:n94jb:J0b==O;lo0(kh51:k75?6=3`>36=44i5a94?=h9hh1<75rb313>5<3290;w)?m4;ga?M5a02B8ih5+fg82?l262900e9650;9j0f<722e:mo4?::a6=6=83>1<7>t$0`7>`d<@:l37E=je:&eb?7<a=;1<75f4983>>o3k3:17b?nb;29?xd5?o0;694?:1y'5g2=mk1C?k64H2gf?!`a281b8<4?::k7<?6=3`>h6=44o0ca>5<<uk8<i7>54;294~"6j=0nn6F<f99K7`c<,ol1=6g;1;29?l2?2900e9m50;9l5dd=831vn?9k:187>5<7s-;i87km;I1e<>N4ml1/jk4>;h62>5<<a=21<75f4b83>>i6ik0;66sm26a94?2=83:p(<l;:d`8L6`?3A9ni6*if;38m17=831b854?::k7g?6=3f;jn7>5;|`13g<72=0;6=u+1c69ag=O;o20D>kj;%de>4=n<80;66g;8;29?l2d2900c<om:188yg40i3:187>50z&2f1<bj2B8j55G3dg8
 
c`=92c?=7>5;h6;>5<<a=i1<75`1``94?=zj;=26=4;:183!7e<3oi7E=i8:J0a`=#no0:7d:>:188m1>=831b8n4?::m2eg<722wi>:650;694?6|,8h?6hl4H2d;?M5bm2.mj7?4i5394?=n<10;66g;c;29?j7fj3:17pl=1d83>1<729q/=o:5ec9K7c><@:on7)hi:09j04<722c?47>5;h6`>5<<g8ki6=44}c02`?6=<3:1<v*>b58ff>N4n11C?hk4$gd95>o393:17d:7:188m1e=831d=ll50;9~f77d290?6=4?{%3a0?ce3A9m46F<ed9'bc<63`>:6=44i5:94?=n<j0;66a>ac83>>{e:8h1<7:50;2x
 
4d32lh0D>h7;I1fa>"an3;0e9?50;9j0=<722c?o7>5;n3bf?6=3th9=l4?:583>5}#9k>1io5G3g:8L6cb3-lm6<5f4083>>o303:17d:l:188k4ge2900qo<>9;290?6=8r.:n94jb:J0b==O;lo0(kh51:k75?6=3`>36=44i5a94?=h9hh1<75rb33;>5<3290;w)?m4;ga?M5a02B8ih5+fg82?l262900e9650;9j0f<722e:mo4?::a641=83>1<7>t$0`7>`d<@:l37E=je:&eb?7<a=;1<75f4983>>o3k3:17b?nb;29?xd59?0;694?:1y'5g2=mk1C?k64H2gf?!`a281b8<4?::k7<?6=3`>h6=44o0ca>5<<uk8=i7>54;294~"6j=0nn6F<f99K7`c<@:o0(<:k:5dg?!`a281b8<4?::k7<?6=3`>h6=44o0ca>5<<uk8=h7>54;294~"6j=0nn6F<f99K7`c<@:o0(<:k:5dg?!`a281b8<4?::k7<?6=3`>h6=44o0ca>5<<uk8=o7>54;294~"6j=0nn6F<f99K7`c<@:o0(<:k:5dg?!`a281b8<4?::k7<?6=3`>h6=44o0ca>5<<uk8=n7>54;294~"6j=0nn6F<f99K7`c<@:o0(<:k:5dg?!`a281b8<4?::k7<?6=3`>h6=44o0ca>5<<uk8=m7>54;294~"6j=0nn6F<f99K7`c<@:o0(<:k:5dg?!`a281b8<4?::k7<?6=3`>h6=44o0ca>5<<uk8=57>54;294~"6j=0nn6F<f99K7`c<@:o0(<:k:5dg?!`a281b8<4?::k7<?6=3`>h6=44o0ca>5<<uk8=47>54;294~"6j=0nn6F<f99K7`c<@:o0(<:k:5dg?!`a281b8<4?::k7<?6=3`>h6=44o0ca>5<<uk8=;7>54;294~"6j=0nn6F<f99K7`c<@:o0(<:k:5dg?!`a281b8<4?::k7<?6=3`>h6=44o0ca>5<<uk8=:7>54;294~"6j=0nn6F<f99K7`c<@:o0(<:k:5dg?!`a281b8<4?::k7<?6=3`>h6=44o0ca>5<<uk8;o7>54;294~"6j=0nn6F<f99K7`c<@:o0(<:k:5dg?!`a281b8<4?::k7<?6=3`>h6=44o0ca>5<<uk8;n7>54;294~"6j=0nn6F<f99K7`c<@:o0(<:k:5dg?!`a281b8<4?::k7<?6=3`>h6=44o0ca>5<<uk8;m7>54;294~"6j=0nn6F<f99K7`c<@:o0(<:k:5dg?!`a281b8<4?::k7<?6=3`>h6=44o0ca>5<<uk8;57>54;294~"6j=0nn6F<f99K7`c<@:o0(<:k:5dg?!`a281b8<4?::k7<?6=3`>h6=44o0ca>5<<uk8;47>54;294~"6j=0nn6F<f99K7`c<@:o0(<:k:5dg?!`a281b8<4?::k7<?6=3`>h6=44o0ca>5<<uk8;;7>54;294~"6j=0nn6F<f99K7`c<@:o0(<:k:5dg?!`a281b8<4?::k7<?6=3`>h6=44o0ca>5<<uk8;:7>54;294~"6j=0nn6F<f99K7`c<@:o0(<:k:5dg?!`a281b8<4?::k7<?6=3`>h6=44o0ca>5<<uk8;97>54;294~"6j=0nn6F<f99K7`c<@:o0(<:k:5dg?!`a281b8<4?::k7<?6=3`>h6=44o0ca>5<<uk8;87>54;294~"6j=0nn6F<f99K7`c<@:o0(<:k:5dg?!`a281b8<4?::k7<?6=3`>h6=44o0ca>5<<uk;mm7>54;294~"6j=0nn6F<f99K7`c<,ol1=6g;1;29?l2?2900e9m50;9l5dd=831vn<h6:187>5<7s-;i87km;I1e<>N4ml1/jk4>;h62>5<<a=21<75f4b83>>i6ik0;66sm1g:94?2=83:p(<l;:d`8L6`?3A9ni6*if;38m17=831b854?::k7g?6=3f;jn7>5;|`2b2<72=0;6=u+1c69ag=O;o20D>kj;%de>4=n<80;66g;8;29?l2d2900c<om:188yg7a>3:187>50z&2f1<bj2B8j55G3dg8
 
c`=92c?=7>5;h6;>5<<a=i1<75`1``94?=zj8l>6=4;:183!7e<3oi7E=i8:J0a`=#no0:7d:>:188m1>=831b8n4?::m2eg<722wi=k:50;694?6|,8h?6hl4H2d;?M5bm2.mj7?4i5394?=n<10;66g;c;29?j7fj3:17pl>f283>1<729q/=o:5ec9K7c><@:on7)hi:09j04<722c?47>5;h6`>5<<g8ki6=44}c3e6?6=<3:1<v*>b58ff>N4n11C?hk4$gd95>o393:17d:7:188m1e=831d=ll50;9~f73d290?6=4?{%3a0?ce3A9m46F<ed9'bc<63`>:6=44i5:94?=n<j0;66a>ac83>>{e:<h1<7:50;2x
 
4d32lh0D>h7;I1fa>"an3;0e9?50;9j0=<722c?o7>5;n3bf?6=3th99l4?:583>5}#9k>1io5G3g:8L6cb3-lm6<5f4083>>o303:17d:l:188k4ge2900qo<:9;290?6=8r.:n94jb:J0b==O;lo0(kh51:k75?6=3`>36=44i5a94?=h9hh1<75rb37;>5<3290;w)?m4;ga?M5a02B8ih5+fg82?l262900e9650;9j0f<722e:mo4?::a601=83>1<7>t$0`7>`d<@:l37E=je:&eb?7<a=;1<75f4983>>o3k3:17b?nb;29?xd5=?0;694?:1y'5g2=mk1C?k64H2gf?!`a281b8<4?::k7<?6=3`>h6=44o0ca>5<<uk8>97>54;294~"6j=0nn6F<f99K7`c<,ol1=6g;1;29?l2?2900e9m50;9l5dd=831vn?;;:187>5<7s-;i87km;I1e<>N4ml1/jk4>;h62>5<<a=21<75f4b83>>i6ik0;66sm2g794?3=83:p(<l;:da8L6`?3A9ni6*if;38m17=831b8>4?::k7<?6=3`>h6=44o0ca>5<<uk8m87>55;294~"6j=0no6F<f99K7`c<,ol1=6g;1;29?l242900e9650;9j0f<722e:mo4?::a6c5=83?1<7>t$0`7>`e<@:l37E=je:&eb?7<a=;1<75f4283>>o303:17d:l:188k4ge2900qo<i2;291?6=8r.:n94jc:J0b==O;lo0(kh51:k75?6=3`>86=44i5:94?=n<j0;66a>ac83>>{e:o;1<7;50;2x
 
4d32li0D>h7;I1fa>"an3;0e9?50;9j06<722c?47>5;h6`>5<<g8ki6=44}c0e4?6==3:1<v*>b58fg>N4n11C?hk4$gd95>o393:17d:<:188m1>=831b8n4?::m2eg<722wi>hk50;794?6|,8h?6hm4H2d;?M5bm2.mj7?4i5394?=n<:0;66g;8;29?l2d2900c<om:188yg4bl3:197>50z&2f1<bn2B8j55G3dg8
 
c`=<2c?=7>5;h60>5<<a=i1<75f1`c94?=h9hh1<75rb3ge>5<2290;w)?m4;g`?M5a02B8ih5+fg82?l262900e9=50;9j0=<722c?o7>5;n3bf?6=3th9i?4?:283>5}#9k>1?k94H2d;?M5bm2c?97>5;h377?6=3f;j87>5;|`1a4<72:0;6=u+1c697c1<@:l37E=je:k71?6=3`;??7>5;n3b0?6=3th9h54?:583>5}#9k>1=9k4H2d;?M5bm2c?97>5;h6g>5<<a8ko6=44o0c7>5<<uk8n<7>53;294~"6j=08j:5G3g:8L6cb3`>>6=44i060>5<<g8k?6=44}c0g2?6=<3:1<v*>b5820`=O;o20D>kj;h66>5<<a=n1<75f1`f94?=h9h>1<75rb3fe>5<4290;w)?m4;1e3>N4n11C?hk4i5794?=n9=91<75`1`694?=zj;n?6=4;:183!7e<3;?i6F<f99K7`c<a=?1<75f4e83>>o6im0;66a>a583>>{e:mo1<7=50;2x
 
4d32:l<7E=i8:J0a`=n<<0;66g>4283>>i6i=0;66sm2e094?2=83:p(<l;:06f?M5a02B8ih5f4483>>o3l3:17d?nd;29?j7f<3:17pl=de83>6<729q/=o:53g58L6`?3A9ni6g;5;29?l73;3:17b?n4;29?xd5l90;694?:1y'5g2=9=o0D>h7;I1fa>o3=3:17d:k:188m4gc2900c<o;:188yg4ck3:1?7>50z&2f1<4n>1C?k64H2gf?l222900e<:<:188k4g32900qo<le;290?6=8r.:n94>4d9K7c><@:on7d:::188m1b=831b=lj50;9l5d2=831vn?jm:180>5<7s-;i87=i7:J0b==O;lo0e9;50;9j515=831d=l:50;9~f7ed290?6=4?{%3a0?73m2B8j55G3dg8m13=831b8i4?::k2ea<722e:m94?::a6ag=8391<7>t$0`7>6`03A9m46F<ed9j00<722c:8>4?::m2e1<722wi>no50;694?6|,8h?6<:j;I1e<>N4ml1b884?::k7`?6=3`;jh7>5;n3b0?6=3th8<:4?:483>5}#9k>1in5G3g:8L6cb3-lm6<5f4083>>o3;3:17d:7:188m1e=831d=ll50;9~f661290>6=4?{%3a0?cd3A9m46F<ed9'bc<63`>:6=44i5194?=n<10;66g;c;29?j7fj3:17pl<0483>0<729q/=o:5eb9K7c><@:on7)hi:09j04<722c??7>5;h6;>5<<a=i1<75`1``94?=zj::?6=4::183!7e<3oh7E=i8:J0a`=#no0:7d:>:188m15=831b854?::k7g?6=3f;jn7>5;|`046<72<0;6=u+1c69af=O;o20D>kj;%de>4=n<80;66g;3;29?l2?2900e9m50;9l5dd=831vn>>=:186>5<7s-;i87kl;I1e<>N4ml1/jk4>;h62>5<<a=91<75f4983>>o3k3:17b?nb;29?xd4880;684?:1y'5g2=mj1C?k64H2gf?!`a281b8<4?::k77?6=3`>36=44i5a94?=h9hh1<75rb223>5<2290;w)?m4;g`?M5a02B8ih5+fg82?l262900e9=50;9j0=<722c?o7>5;n3bf?6=3th9jk4?:483>5}#9k>1in5G3g:8L6cb3-lm6<5f4083>>o3;3:17d:7:188m1e=831d=ll50;9~f7>a290?6=4?{%3a0?ce3A9m46F<ed9'bc<63`>:6=44i5:94?=n<j0;66a>ac83>>{e:1i1<7:50;2x
 
4d32lh0D>h7;I1fa>"an3;0e9?50;9j0=<722c?o7>5;n3bf?6=3th95>4?:583>5}#9k>1ih5G3g:8L6cb3-lm695f4083>>o3k3:17d?na;29?j7fj3:17pl=9383>1<729q/=o:5ed9K7c><@:on7)hi:59j04<722c?o7>5;h3be?6=3f;jn7>5;|`02d<72<0;6=u+1c69af=O;o20D>kj;%de>4=n<80;66g;3;29?l2?2900e9m50;9l5dd=831vn>86:186>5<7s-;i87kl;I1e<>N4ml1/jk4>;h62>5<<a=91<75f4983>>o3k3:17b?nb;29?xd4>10;684?:1y'5g2=mj1C?k64H2gf?!`a281b8<4?::k77?6=3`>36=44i5a94?=h9hh1<75rb244>5<2290;w)?m4;g`?M5a02B8ih5+fg82?l262900e9=50;9j0=<722c?o7>5;n3bf?6=3th8:;4?:483>5}#9k>1in5G3g:8L6cb3-lm6<5f4083>>o3;3:17d:7:188m1e=831d=ll50;9~f602290>6=4?{%3a0?cd3A9m46F<ed9'bc<63`>:6=44i5194?=n<10;66g;c;29?j7fj3:17pl<6283>0<729q/=o:5eg9K7c><@:on7)hi:59j04<722c??7>5;h6`>5<<a8kj6=44o0ca>5<<uk9=>7>55;294~"6j=0no6F<f99K7`c<,ol1=6g;1;29?l242900e9650;9j0f<722e:mo4?::a732=83?1<7>t$0`7>`e<@:l37E=je:&eb?7<a=;1<75f4283>>o303:17d:l:188k4ge2900qo=:7;297?6=8r.:n94<f69K7c><@:on7d:::188m4242900c<o;:188yg52>3:1?7>50z&2f1<4n>1C?k64H2gf?l222900e<:<:188k4g32900qo=;d;290?6=8r.:n94>4d9K7c><@:on7d:::188m1b=831b=lj50;9l5d2=831vn>;::180>5<7s-;i87=i7:J0b==O;lo0e9;50;9j515=831d=l:50;9~f62e290?6=4?{%3a0?73m2B8j55G3dg8m13=831b8i4?::k2ea<722e:m94?::a702=8391<7>t$0`7>6`03A9m46F<ed9j00<722c:8>4?::m2e1<722wi?9750;694?6|,8h?6<:j;I1e<>N4ml1b884?::k7`?6=3`;jh7>5;n3b0?6=3th89>4?:283>5}#9k>1?k94H2d;?M5bm2c?97>5;h377?6=3f;j87>5;|`002<72=0;6=u+1c6951c<@:l37E=je:k71?6=3`>o6=44i0cg>5<<g8k?6=44}c166?6=;3:1<v*>b580b2=O;o20D>kj;h66>5<<a8>86=44o0c7>5<<uk9?97>54;294~"6j=0:8h5G3g:8L6cb3`>>6=44i5f94?=n9hn1<75`1`694?=zj:?:6=4<:183!7e<39m;6F<f99K7`c<a=?1<75f15194?=h9h>1<75rb260>5<3290;w)?m4;37a>N4n11C?hk4i5794?=n<m0;66g>ae83>>i6i=0;66sm34294?5=83:p(<l;:2d4?M5a02B8ih5f4483>>o6<:0;66a>a583>>{e;=;1<7:50;2x
 
4d328>n7E=i8:J0a`=n<<0;66g;d;29?l7fl3:17b?n4;29?xd4<o0;6>4?:1y'5g2=;o=0D>h7;I1fa>o3=3:17d?;3;29?j7f<3:17pl<3g83>1<729q/=o:515g8L6`?3A9ni6g;5;29?l2c2900e<ok:188k4g32900qo=86;291?6=8r.:n94jc:J0b==O;lo0(kh51:k75?6=3`>86=44i5:94?=n<j0;66a>ac83>>{e;>>1<7;50;2x
 
4d32ll0D>h7;I1fa>"an3>0e9?50;9j06<722c?o7>5;h3be?6=3f;jn7>5;|`030<72<0;6=u+1c69af=O;o20D>kj;%de>4=n<80;66g;3;29?l2?2900e9m50;9l5dd=831vn>98:186>5<7s-;i87kl;I1e<>N4ml1/jk4>;h62>5<<a=91<75f4983>>o3k3:17b?nb;29?xd4?10;684?:1y'5g2=mj1C?k64H2gf?!`a281b8<4?::k77?6=3`>36=44i5a94?=h9hh1<75rb25:>5<2290;w)?m4;g`?M5a02B8ih5+fg82?l262900e9=50;9j0=<722c?o7>5;n3bf?6=3th8;l4?:483>5}#9k>1in5G3g:8L6cb3-lm6<5f4083>>o3;3:17d:7:188m1e=831d=ll50;9~f61e290>6=4?{%3a0?cd3A9m46F<ed9'bc<63`>:6=44i5194?=n<10;66g;c;29?j7fj3:17pl<7b83>0<729q/=o:5eb9K7c><@:on7)hi:09j04<722c??7>5;h6;>5<<a=i1<75`1``94?=zj:2n6=4::183!7e<3oh7E=i8:J0a`=#no0:7d:>:188m15=831b854?::k7g?6=3f;jn7>5;|`0<a<72<0;6=u+1c69af=O;o20D>kj;%de>4=n<80;66g;3;29?l2?2900e9m50;9l5dd=831vn>6l:186>5<7s-;i87kl;I1e<>N4ml1/jk4>;h62>5<<a=91<75f4983>>o3k3:17b?nb;29?xd40k0;684?:1y'5g2=mj1C?k64H2gf?!`a281b8<4?::k77?6=3`>36=44i5a94?=h9hh1<75rb2:b>5<2290;w)?m4;g`?M5a02B8ih5+fg82?l262900e9=50;9j0=<722c?o7>5;n3bf?6=3th8444?:483>5}#9k>1in5G3g:8L6cb3-lm6<5f4083>>o3;3:17d:7:188m1e=831d=ll50;9~f6>?290>6=4?{%3a0?cd3A9m46F<ed9'bc<63`>:6=44i5194?=n<10;66g;c;29?j7fj3:17pl<8683>0<729q/=o:5eb9K7c><@:on7)hi:09j04<722c??7>5;h6;>5<<a=i1<75`1``94?=zj:2=6=4::183!7e<3oh7E=i8:J0a`=#no0:7d:>:188m15=831b854?::k7g?6=3f;jn7>5;|`0ef<72=0;6=u+1c69aa=O;o20D>kj;%de>4=n<80;66g;3;29?l2d2900c<om:188yg5fj3:187>50z&2f1<bl2B8j55G3dg8
 
c`=92c?=7>5;h60>5<<a=i1<75`1``94?=zj:kj6=4;:183!7e<3oo7E=i8:J0a`=#no0:7d:>:188m15=831b8n4?::m2eg<722wi?l750;694?6|,8h?6hj4H2d;?M5bm2.mj7?4i5394?=n<:0;66g;c;29?j7fj3:17pl<a983>1<729q/=o:5ee9K7c><@:on7)hi:09j04<722c??7>5;h6`>5<<g8ki6=44}c1b3?6=<3:1<v*>b58f`>N4n11C?hk4$gd95>o393:17d:<:188m1e=831d=ll50;9~f6g2290?6=4?{%3a0?cc3A9m46F<ed9'bc<63`>:6=44i5194?=n<j0;66a>ac83>>{e;h>1<7:50;2x
 
4d32ln0D>h7;I1fa>"an3;0e9?50;9j06<722c?o7>5;n3bf?6=3th8m>4?:583>5}#9k>1ii5G3g:8L6cb3-lm6<5f4083>>o3;3:17d:l:188k4ge2900qo=n2;290?6=8r.:n94jd:J0b==O;lo0(kh51:k75?6=3`>86=44i5a94?=h9hh1<75rb2c2>5<3290;w)?m4;gg?M5a02B8ih5+fg82?l262900e9=50;9j0f<722e:mo4?::a7d6=83>1<7>t$0`7>`b<@:l37E=je:&eb?7<a=;1<75f4283>>o3k3:17b?nb;29?xd41o0;694?:1y'5g2=mm1C?k64H2gf?!`a281b8<4?::k77?6=3`>h6=44o0ca>5<<uk92i7>54;294~"6j=0nh6F<f99K7`c<,ol1=6g;1;29?l242900e9m50;9l5dd=831vn>7k:187>5<7s-;i87kk;I1e<>N4ml1/jk4>;h62>5<<a=91<75f4b83>>i6ik0;66sm38a94?2=83:p(<l;:df8L6`?3A9ni6*if;38m17=831b8>4?::k7g?6=3f;jn7>5;|`0=d<72=0;6=u+1c69aa=O;o20D>kj;%de>4=n<80;66g;3;29?l2d2900c<om:188yg5>13:187>50z&2f1<bl2B8j55G3dg8
 
c`=92c?=7>5;h60>5<<a=i1<75`1``94?=zj:336=4;:183!7e<3oo7E=i8:J0a`=#no0:7d:>:188m15=831b8n4?::m2eg<722wi?4950;694?6|,8h?6hj4H2d;?M5bm2.mj7?4i5394?=n<:0;66g;c;29?j7fj3:17pl<9783>1<729q/=o:5ee9K7c><@:on7)hi:09j04<722c??7>5;h6`>5<<g8ki6=44}c1:1?6=<3:1<v*>b58f`>N4n11C?hk4$gd95>o393:17d:<:188m1e=831d=ll50;9~f6?3290?6=4?{%3a0?cc3A9m46F<ed9'bc<63`>:6=44i5194?=n<j0;66a>ac83>>{e;091<7:50;2x
 
4d32ln0D>h7;I1fa>"an3;0e9?50;9j06<722c?o7>5;n3bf?6=3th85?4?:583>5}#9k>1ii5G3g:8L6cb3-lm6<5f4083>>o3;3:17d:l:188k4ge2900qo=61;290?6=8r.:n94jd:J0b==O;lo0(kh51:k75?6=3`>86=44i5a94?=h9hh1<75rb2`1>5<3290;w)?m4;gg?M5a02B8ih5+fg82?l262900e9=50;9j0f<722e:mo4?::a7g7=83>1<7>t$0`7>`b<@:l37E=je:&eb?7<a=;1<75f4283>>o3k3:17b?nb;29?xd4j90;694?:1y'5g2=mm1C?k64H2gf?!`a281b8<4?::k77?6=3`>h6=44o0ca>5<<uk9jj7>54;294~"6j=0nh6F<f99K7`c<,ol1=6g;1;29?l242900e9m50;9l5dd=831vn>oj:187>5<7s-;i87kk;I1e<>N4ml1/jk4>;h62>5<<a=91<75f4b83>>i6ik0;66sm3`f94?2=83:p(<l;:df8L6`?3A9ni6*if;38m17=831b8>4?::k7g?6=3f;jn7>5;|`0e3<72=0;6=u+1c69aa=O;o20D>kj;%de>4=n<80;66g;3;29?l2d2900c<om:188yg5>j3:187>50z&2f1<bl2B8j55G3dg8
 
c`=92c?=7>5;h60>5<<a=i1<75`1``94?=zj:3;6=4;:183!7e<3oo7E=i8:J0a`=#no0:7d:>:188m15=831b8n4?::m2eg<722wi?5h50;694?6|,8h?6hj4H2d;?M5bm2.mj7?4i5394?=n<:0;66g;c;29?j7fj3:17pl<e983>6<729q/=o:515:8L6`?3A9ni6*if;33?l`42900ek:50;9l5d2=831vn>ji:180>5<7s-;i87?;8:J0b==O;lo0(kh5119jb6<722cm87>5;n3b0?6=3th9?l4?:283>5}#9k>1=964H2d;?M5bm2.mj7?:;hd0>5<<ao>1<75`1`694?=zj8n36=4<:183!7e<3;?46F<f99K7`c<,ol1=85ff283>>oa<3:17b?n4;29?xd6k=0;6>4?:1y'5g2=9=20D>h7;I1fa>"an3;>7dh<:188mc2=831d=l:50;9~f4e429086=4?{%3a0?7302B8j55G3dg8
 
c`=9<1bj>4?::ke0?6=3f;j87>5;|`2g7<72:0;6=u+1c6951><@:l37E=je:&eb?723`l86=44ig694?=h9h>1<75rb0a2>5<4290;w)?m4;37<>N4n11C?hk4$gd950=nn:0;66gi4;29?j7f<3:17pl>c183>6<729q/=o:515:8L6`?3A9ni6*if;36?l`42900ek:50;9l5d2=831vn<li:180>5<7s-;i87?;8:J0b==O;lo0(kh5149jb6<722cm87>5;n3b0?6=3th:nh4?:283>5}#9k>1=964H2d;?M5bm2.mj7?:;hd0>5<<ao>1<75`1`694?=zj8ho6=4<:183!7e<3;?46F<f99K7`c<,ol1=85ff283>>oa<3:17b?n4;29?xd6jj0;6>4?:1y'5g2=9=20D>h7;I1fa>"an3;>7dh<:188mc2=831d=l:50;9~f4de29086=4?{%3a0?7302B8j55G3dg8
 
c`=9<1bj>4?::ke0?6=3f;j87>5;|`2fd<72:0;6=u+1c6951><@:l37E=je:&eb?723`l86=44ig694?=h9h>1<75rb0`:>5<4290;w)?m4;37<>N4n11C?hk4$gd950=nn:0;66gi4;29?j7f<3:17pl>b983>6<729q/=o:515:8L6`?3A9ni6*if;36?l`42900ek:50;9l5d2=831vn<l8:180>5<7s-;i87?;8:J0b==O;lo0(kh5149jb6<722cm87>5;n3b0?6=3th:n;4?:283>5}#9k>1=964H2d;?M5bm2.mj7?:;hd0>5<<ao>1<75`1`694?=zj8h>6=4<:183!7e<3;?46F<f99K7`c<,ol1=85ff283>>oa<3:17b?n4;29?xd5;j0;694?:1y'5g2=9=k0D>h7;I1fa>"an3;o7dh<:188mc2=831bj84?::m2e1<722wi=io50;694?6|,8h?6<:n;I1e<>N4ml1/jk4>d:ke7?6=3`l?6=44ig794?=h9h>1<75rb3:f>5<3290;w)?m4;37e>N4n11C?hk4$gd95<=nn:0;66gi4;29?l`22900c<o;:188yg44m3:197>50z&2f1<6<j1C?k64H2gf?!`a28=0ek=50;9jb1<722cm97>5;hd5>5<<g8k?6=44}c3gg?6==3:1<v*>b5820f=O;o20D>kj;%de>41<ao91<75ff583>>oa=3:17dh9:188k4g32900qo<65;291?6=8r.:n94>4b9K7c><@:on7)hi:508mc5=831bj94?::ke1?6=3`l=6=44o0c7>5<<uk82=7>55;294~"6j=0:8n5G3g:8L6cb3-lm6<64ig194?=nn=0;66gi5;29?l`12900c<o;:188yg54<3:1?7>50z&2f1<6<11C?k64H2gf?!`a2?1bj>4?::ke0?6=3f;j87>5;|`1<g<72=0;6=u+1c6951g<@:l37E=je:&eb?c<ao91<75ff583>>oa=3:17b?n4;29?xd5<90;6>4?:1y'5g2=9=20D>h7;I1fa>"an3;>7dh<:188mc2=831d=l:50;9~f4bb29086=4?{%3a0?7302B8j55G3dg8
 
c`=9<1bj>4?::ke0?6=3f;j87>5;|`107<72=0;6=u+1c6951g<@:l37E=je:&eb?7c3`l86=44ig694?=nn<0;66a>a583>>{e9l:1<7:50;2x
 
4d328>j7E=i8:J0a`=#no0:h6gi3;29?l`32900ek;50;9l5d2=831vn?66:186>5<7s-;i87?;c:J0b==O;lo0(kh5b:ke7?6=3`l?6=44ig794?=nn?0;66a>a583>>{e:=>1<7;50;2x
 
4d328>h7E=i8:J0a`=#no0:;6gi3;29?l`32900ek;50;9jb3<722e:m94?::a5`4=83?1<7>t$0`7>42d3A9m46F<ed9'bc<6?2cm?7>5;hd7>5<<ao?1<75ff783>>i6i=0;66sm33f94?5=83:p(<l;:06;?M5a02B8ih5+fg82f>oa;3:17dh;:188k4g32900qo=>a;297?6=8r.:n94>499K7c><@:on7)hi:0`8mc5=831bj94?::m2e1<722wi>ol50;194?6|,8h?6<:7;I1e<>N4ml1/jk4>b:ke7?6=3`l?6=44o0c7>5<<uk8j47>53;294~"6j=0:855G3g:8L6cb3-lm6<l4ig194?=nn=0;66a>a583>>{e;;i1<7;50;2x
 
4d328>h7E=i8:J0a`=#no0:m6gi3;29?l`32900ek;50;9jb3<722e:m94?::a74?=83?1<7>t$0`7>42d3A9m46F<ed9'bc<6k2cm?7>5;hd7>5<<ao?1<75ff783>>i6i=0;66sm2cc94?3=83:p(<l;:06`?M5a02B8ih5+fg82e>oa;3:17dh;:188mc3=831bj;4?::m2e1<722wi>l950;794?6|,8h?6<:l;I1e<>N4ml1/jk4>a:ke7?6=3`l?6=44ig794?=nn?0;66a>a583>>{e;;h1<7;50;2x
 
4d328>h7E=i8:J0a`=#no0:m6gi3;29?l`32900ek;50;9jb3<722e:m94?::a74>=83?1<7>t$0`7>42d3A9m46F<ed9'bc<6k2cm?7>5;hd7>5<<ao?1<75ff783>>i6i=0;66sm2c;94?3=83:p(<l;:06`?M5a02B8ih5+fg82e>oa;3:17dh;:188mc3=831bj;4?::m2e1<722wi>l850;794?6|,8h?6<:l;I1e<>N4ml1/jk4>a:ke7?6=3`l?6=44ig794?=nn?0;66a>a583>>{e;;k1<7;50;2x
 
4d328>h7E=i8:J0a`=#no0:m6gi3;29?l`32900ek;50;9jb3<722e:m94?::a741=83?1<7>t$0`7>42d3A9m46F<ed9'bc<6k2cm?7>5;hd7>5<<ao?1<75ff783>>i6i=0;66sm2c:94?3=83:p(<l;:06`?M5a02B8ih5+fg82e>oa;3:17dh;:188mc3=831bj;4?::m2e1<722wi>l;50;794?6|,8h?6<:l;I1e<>N4ml1/jk4>a:ke7?6=3`l?6=44ig794?=nn?0;66a>a583>>{e:j:1<7:50;2x
 
4d328>j7E=i8:J0a`=#no0n56gi3;29?l`32900ek;50;9l5d2=831vn>=<:186>5<7s-;i87?;c:J0b==O;lo0(kh5e99jb6<722cm87>5;hd6>5<<ao<1<75`1`694?=zj:826=4::183!7e<3;?o6F<f99K7`c<,ol1=l5ff283>>oa<3:17dh::188mc0=831d=l:50;9~f671290>6=4?{%3a0?73k2B8j55G3dg8
 
c`=9j1bj>4?::ke0?6=3`l>6=44ig494?=h9h>1<75rb3`4>5<2290;w)?m4;37g>N4n11C?hk4$gd95d=nn:0;66gi4;29?l`22900ek850;9l5d2=831vn?o;:186>5<7s-;i87?;c:J0b==O;lo0(kh51`9jb6<722cm87>5;hd6>5<<ao<1<75`1`694?=zj;n26=4=:183!7e<3;?;6F<f99K7`c<,ol1:6gi3;29?j7f<3:17pl=d683>7<729q/=o:51558L6`?3A9ni6*if;48mc5=831d=l:50;9~f7b229096=4?{%3a0?73?2B8j55G3dg8
 
c`=>2cm?7>5;n3b0?6=3th9h>4?:383>5}#9k>1=994H2d;?M5bm2.mj784ig194?=h9h>1<75rb3f2>5<5290;w)?m4;373>N4n11C?hk4$gd92>oa;3:17b?n4;29?xd5ko0;6?4?:1y'5g2=9==0D>h7;I1fa>"an3<0ek=50;9l5d2=831vn?mk:181>5<7s-;i87?;7:J0b==O;lo0(kh56:ke7?6=3f;j87>5;|`1gg<72;0;6=u+1c69511<@:l37E=je:&eb?0<ao91<75`1`694?=zj:>n6=4=:183!7e<3;?;6F<f99K7`c<,ol1:6gi3;29?j7f<3:17pl<4b83>7<729q/=o:51558L6`?3A9ni6*if;48mc5=831d=l:50;9~f62f29096=4?{%3a0?73?2B8j55G3dg8
 
c`=>2cm?7>5;n3b0?6=3th8854?:383>5}#9k>1=994H2d;?M5bm2.mj784ig194?=h9h>1<75rb265>5<5290;w)?m4;373>N4n11C?hk4$gd92>oa;3:17b?n4;29?xd4<=0;6?4?:1y'5g2=9==0D>h7;I1fa>"an3<0ek=50;9l5d2=831vn>:=:181>5<7s-;i87?;7:J0b==O;lo0(kh56:ke7?6=3f;j87>5;|`005<72;0;6=u+1c69511<@:l37E=je:&eb?0<ao91<75`1`694?=zj;o86=4=:183!7e<3;?;6F<f99K7`c<,ol1:6gi3;29?j7f<3:17pl<5983>7<729q/=o:51558L6`?3A9ni6*if;48mc5=831d=l:50;9~f4c1290>6=4?{%3a0?73k2B8j55G3dg8
 
c`=9>1bj>4?::ke0?6=3`l>6=44ig494?=h9h>1<75rb0g;>5<2290;w)?m4;37g>N4n11C?hk4$gd95`=nn:0;66gi4;29?l`22900ek850;9l5d2=831vn?:7:186>5<7s-;i87?;c:J0b==O;lo0(kh5169jb6<722cm87>5;hd6>5<<ao<1<75`1`694?=zj;>j6=4::183!7e<3;?o6F<f99K7`c<,ol1=h5ff283>>oa<3:17dh::188mc0=831d=l:50;9~f7>f290>6=4?{%3a0?73k2B8j55G3dg8
 
c`=j2cm?7>5;hd7>5<<ao?1<75ff783>>i6i=0;66sm32794?5=83:p(<l;:06;?M5a02B8ih5+fg85?l`42900ek:50;9l5d2=831vn>j8:18be?6=8r.:n94>ab9K7c><@:on7W:?:`y2b?472>036<?5138:>45=i3;?6p*>3d80?!74n390(k953:&e<?5<,o31?6*ia;18
 
cd=;2.mo7=4$0`3>7=#9k;1>6*>0180?!779390(<>=:29'555=;2.:<94<;%331?5<,8:=6>5+11597>"681087)??9;18
 
46f2:1/==l53:&24f<43-;;h7=4$02f>6=#99l1?6*>1180?!769390(<?=:29'545=;2.:=94<;%321?5<,8;=6>5+10597>"691087)?>9;18
 
47f2:1/=<l53:&25f<43-;:h7=4$03f>6=#98l1?6*>2180?!759390(<<=:29'575=;2.:>94<;%311?5<,88=6>5+13597>"6:1087)?=9;18
 
44f2:1/=?l53:&26f<43-;9h7=4$00f>6=#9;l1?6*>3180?!749390(<==:29'565=;2.:?94<;%301?5<,89=6>5+12597>"6;1087)?<9;18
 
45f2:1/=>l53:&27f<43-;8h7=4$2d6>6`43-lo6>5+1`g95d5<,8>;6?5+15396>"4n=08j>5+fd80?l212900e9950;9ja3<722cn;7>5;h3a6?6=3`;i?7>5;h1e5?6=3`9m>7>5;h10>5<#9h31??5a1`:94>=n;80;6)?n9;11?k7f03;07d=?:18'5d?=;;1e=l652:9j6c<72-;j57==;o3b<?5<3`8n6=4+1`;977=i9h21865f2e83>!7f13997c?n8;78?l4d290/=l75339m5d>=>21b>o4?:%3b=?553g;j4794;h0b>5<#9h31??5a1`:9<>=n;m0;6)?n9;1`?k7f03:07d=m:18'5d?=;j1e=l651:9j7d<72-;j57=l;o3b<?4<3`926=4+1`;97f=i9h21?65f3983>!7f139h7c?n8;68?l50290/=l753b9m5d>==21b?;4?:%3b=?5d3g;j4784;h16>5<#9h31?n5a1`:93>=n;=0;6)?n9;1`?k7f03207d88:18'5d?=>?1e=l650:9j20<72-;j5789;o3b<?7<3`<86=4+1`;923=i9h21>65f6383>!7f13<=7c?n8;18?l06290/=l75679m5d>=<21b:=4?:%3b=?013g;j47;4;h7e>5<#9h31:;5a1`:92>=n=l0;6)?n9;45?k7f03=07d;k:18'5d?=>?1e=l658:9j1f<72-;j5789;o3b<??<3`?i6=4+1`;923=i9h21m65f5`83>!7f13<=7c?n8;`8?l3?290/=l75679m5d>=k21b9:4?:%3b=?013g;j47j4;h75>5<#9h31:;5a1`:9a>=n=<0;6)?n9;45?k7f03l07d;;:18'5d?=>?1e=l651198m05=83.:m4496:l2e=<6921b9?4?:%3b=?013g;j47?=;:k65?6=,8k26;84n0c;>45<3`?;6=4+1`;923=i9h21=954i5d94?"6i00=:6`>a9821>=n>l0;6)?n9;45?k7f03;=76g9d;29
 
4g>2?<0b<o7:058?l0d290/=l75679m5d>=9110e;l50;&2e<<1>2d:m54>9:9j2d<72-;j5789;o3b<?7f32c=57>5$0c:>30<f8k36<l4;h4;>5<#9h31:;5a1`:95f=<a?>1<7*>a8852>h6i10:h65f5883>!7f13<=7c?n8;3f?>o3m3:1(<o6:748j4g?28l07d67:18'5d?=0>1e=l650:9j<3<72-;j5768;o3b<?7<3`2?6=4+1`;9<2=i9h21>65f8283>!7f132<7c?n8;18?l>5290/=l75869m5d>=<21b4<4?:%3b=?>03g;j47;4;h:3>5<#9h314:5a1`:92>=n?o0;6)?n9;:4?k7f03=07d9j:18'5d?=0>1e=l658:9j3a<72-;j5768;o3b<??<3`=h6=4+1`;9<2=i9h21m65f7c83>!7f132<7c?n8;`8?l1>290/=l75869m5d>=k21b;54?:%3b=?>03g;j47j4;h54>5<#9h314:5a1`:9a>=n??0;6)?n9;:4?k7f03l07d9::18'5d?=0>1e=l651198m22=83.:m4477:l2e=<6921b;>4?:%3b=?>03g;j47?=;:k46?6=,8k26594n0c;>45<3`=:6=4+1`;9<2=i9h21=954i6294?"6i003;6`>a9821>=n0o0;6)?n9;:4?k7f03;=76g7e;29
 
4g>21=0b<o7:058?l>c290/=l75869m5d>=9110e5m50;&2e<<??2d:m54>9:9j<g<72-;j5768;o3b<?7f32c3m7>5$0c:>=1<f8k36<l4;h::>5<#9h314:5a1`:95f=<a1?1<7*>a88;3>h6i10:h65f7`83>!7f132<7c?n8;3f?>o1n3:1(<o6:958j4g?28l07d7;:18'5d?=1:1e=l650:9j=7<72-;j577<;o3b<?7<3`3:6=4+1`;9=6=i9h21>65f9183>!7f13387c?n8;18?l?>290/=l75999m5d>=821b5:4?:%3b=???3g;j47?4;h;5>5<#9h31555a1`:96>=n1<0;6)?n9;;;?k7f03907bl<:18'5d?=j;1e=l650:9lf4<72-;j57l=;o3b<?7<3fkm6=4+1`;9f7=i9h21>65`ad83>!7f13h97c?n8;18?jgc290/=l75b39m5d>=<21dmn4?:%3b=?d53g;j47;4;nca>5<#9h31n?5a1`:92>=hih0;6)?n9;`1?k7f03=07bo6:18'5d?=j;1e=l658:9le=<72-;j57l=;o3b<??<3fk<6=4+1`;9f7=i9h21m65`a783>!7f13h97c?n8;`8?jg3290/=l75b39m5d>=k21dm>4?:%3b=?d53g;j47j4;nc1>5<#9h31n?5a1`:9a>=hi80;6)?n9;`1?k7f03l07bo?:18'5d?=j;1e=l651198k<`=83.:m44m2:l2e=<6921d5h4?:%3b=?d53g;j47?=;:m:`?6=,8k26o<4n0c;>45<3f3h6=4+1`;9f7=i9h21=954o8`94?"6i00i>6`>a9821>=hjh0;6)?n9;`1?k7f03;=76am9;29
 
4g>2k80b<o7:058?jd?290/=l75b39m5d>=9110co950;&2e<<e:2d:m54>9:9lf3<72-;j57l=;o3b<?7f32ei97>5$0c:>g4<f8k36<l4;n`7>5<#9h31n?5a1`:95f=<gk:1<7*>a88a6>h6i10:h65`a483>!7f13h97c?n8;3f?>i>i3:1(<o6:c08j4g?28l07bk?:18'5d?=lo1e=l650:9l``<72-;j57ji;o3b<?7<3fno6=4+1`;9`c=i9h21>65`db83>!7f13nm7c?n8;18?jb3290/=l75d29m5d>=821dh?4?:%3b=?b43g;j47?4;nf3>5<#9h31h>5a1`:96>=hko0;6)?n9;f0?k7f03907bmj:18'5d?=l:1e=l654:9lga<72-;j57j<;o3b<?3<3fih6=4+1`;9`6=i9h21:65`cc83>!7f13n87c?n8;58?jef290/=l75d29m5d>=021do44?:%3b=?b43g;j4774;na;>5<#9h31h>5a1`:9e>=hk>0;6)?n9;f0?k7f03h07bm::18'5d?=l:1e=l65c:9lg1<72-;j57j<;o3b<?b<3fi86=4+1`;9`6=i9h21i65`c383>!7f13n87c?n8;d8?je6290/=l75d29m5d>=9910cn>50;&2e<<c;2d:m54>1:9lfc<72-;j57j<;o3b<?7532eii7>5$0c:>a5<f8k36<=4;n`g>5<#9h31h>5a1`:951=<gki1<7*>a88g7>h6i10:965`dc83>!7f13n87c?n8;35?>ici3:1(<o6:e18j4g?28=07bj6:18'5d?=l:1e=l651998ka>=83.:m44k3:l2e=<6121dh:4?:%3b=?b43g;j47?n;:mg2?6=,8k26i=4n0c;>4d<3fn>6=4+1`;9`6=i9h21=n54oe394?"6i00o?6`>a982`>=hk?0;6)?n9;f0?k7f03;n76amb;29
 
4g>2m90b<o7:0d8?jc2290/=l75e59m5d>=821di>4?:%3b=?c33g;j47?4;ng1>5<#9h31i95a1`:96>=hm80;6)?n9;g7?k7f03907pl>e583>6<729q/=o:515;8L6`?3A9ni6*if;36?l`42900ek:50;9l512=831vn<k::187>5<7s-;i87?;b:J0b==O;lo0(kh5179jb6<722cm87>5;hd6>5<<g8>?6=44}c072?6=;3:1<v*>b5820<=O;o20D>kj;%de>43<ao91<75ff583>>i6<=0;66sm25594?2=83:p(<l;:06a?M5a02B8ih5+fg822>oa;3:17dh;:188mc3=831d=9:50;9~w1`0290<wS:i7:?1<`<a=279584i6:?1=4<a>2794o4i3:?1<<<a;2794l4i3:p0c3=83=<wS:?f:\744=Y=9:0R9hj;_634>X3nh1U8lm4^5de?[2fl2T?on5Q41f8Z16d3W>;n6P;0`9]05?<V=:37S:?7:\743=Y<9?0R8>n;_73=>X2811U9=94^425?[37=2T><95Q5118Z0653W>m86P;f29]0c4<V=l:7S:i0:\7ac=Y<lo0R9kk;_6fg>;4n00m=63<e587g>;4lk0?o63<e287g>;4m;0?o63<eb87g>;4mk0?o63=9d87`>;51m0?h63=9b87`>;51k0?h63=9`87`>;5j80?h63=b187`>;5io0?h63=ad87`>;5im0?h63<1187`>;48o0?h63<0d87`>;48m0?h63<0b87`>;4::0?h63<2387`>;4:80?h63<2187`>;49o0?h63=d987`>;5l?0?h63=d587`>;5l;0?h63=d187`>;5kl0?h63=cb87`>;5kh0?h63<4e87`>;4<k0?h63<4887`>;4<>0?h63<4487`>;4<:0?h63<4087`>;4;o0?h63<d682f7=:;m=1=o=4=2f4>6`5349o;767;<1g3?>1349o;76;;<1g3?>4349o;76=;<1g3?>6349o;76?;<1g3?1a349o;79j;<1g3?1c349o;79l;<1g3?1e349o;796;<1g3?1?349o;798;<1g3?11349o;79:;<1g3?13349o;79<;<1g3?15349o;79>;<1g3?17349o;76i;<1g3?>b349o;76k;<1g3?>d349o;76m;<1g3?>f349o;766;<1g3?>2349o;79n;<1g3?0a349o;776;<1g3??0349o;779;<1g3??23ty?j44?:2y]0c?<5:o?6<on;<1gf?7fi2wx8l=50;0xZ1g43482?7?nb:p15e=83>pR8>l;<100?`43498?7h<;<101?`43ty?io4?:74xZ1ce349oh7:>;<1gg?26349on7:>;<1f7?26349n>7:>;<0aa?26348847:>;<003?263488:7:>;<001?26348887:>;<007?263488>7:>;<005?263488<7:>;<0;4?26348<j7:>;<04a?26348<h7:>;<04g?26348<n7:>;<04e?26348<57:>;<04<?26348=i7:>;<05`?26348=o7:>;<05f?26348=m7:>;<05=?26348=47:>;<053?26348=:7:>;<3ee?2634;m57:>;<3e<?2634;m;7:>;<3e2?2634;m97:>;<3e0?2634;m?7:>;<3e6?26348m97:>;<0e0?26348m?7:>;<0e6?26348m=7:>;<0e4?26348ni7:>;<0f`?26348nj7:>;<133?26349;:7:>;<131?26349;87:>;<137?26349;>7:>;<135?26349;<7:>;<0eb?263483j7:>;<0;g?263482?7:>;<0:6?26349jo7:>;<1bf?26349jm7:>;<1b=?26349j47:>;<1b3?26349j97:>;<1b0?26349j?7:>;<1b6?26349j=7:>;<1b4?263492j7:>;<1:a?263492h7:>;<1:g?263492m7:>;<1:=?26349247:>;<1:3?263492:7:>;<1:1?26349287:>;<1:7?263492>7:>;<1:5?26349i>7:>;<1a5?26349i<7:>;<1bb?26349ji7:>;<1b`?26349j:7:>;<1:f?263492<7:>;<1;b?26349o;7:8;|q7e<<72;qU8l74=212>4ge3ty><<4?:5:xZ066349n:7:>;<1f0?26349n97:>;<1fg?26349nn7:>;<105?263498<7:>;<3g2?2634;o97:>;<3g0?2634;o?7:>;<3g6?2634;o=7:>;<3g4?2634;hj7:>;<3`a?26348:i7:>;<02`?26348:o7:>;<02f?26348:m7:>;<02=?26348:47:>;<023?26348::7:>;<03g?26348;n7:>;<03e?26348;57:>;<03<?26348;;7:>;<032?26348;97:>;<030?26348>o7:>;<06f?26348>m7:>;<06=?26348>47:>;<063?26348>:7:>;<061?26348>87:>;<15e?26349=57:>;<15<?26349=;7:>;<152?26349=97:>;<157?26349=>7:>;<150?26349<:7:>;<140?26349<97:>;<143?26349<47:>;<14=?26349<m7:>;<14f?26349<o7:>;<1;a?263493h7:>;<1;g?263493n7:>;<1;e?26349357:>;<1;<?263493;7:>;<1;2?26349o;7:9;|q7=d<72;qU8:84=2c`>4ge3ty?554?:3y]023<5:ki6<om;|q7=2<72;qU8::4=2cb>4ge3ty?5;4?:3y]025<5:k26<om;|q7=0<72;qU8:<4=2c;>4ge3ty?594?:3y]027<5:k<6<om;|q7=7<72;qU8;h4=2c6>4ge3ty?5<4?:3y]03c<5:k?6<om;|q7=5<72;qU8;j4=2c0>4ge3ty?4k4?:3y]03e<5:k96<om;|q7<`<72;qU8;l4=2c2>4ge3ty?4i4?:3y]03g<5:k;6<om;|q7<f<72;qU8;74=2;e>4ge3ty?4o4?:3y]03><5:3n6<om;|q7<d<72;qU8;94=2;g>4ge3ty?444?:3y]030<5:3h6<om;|q7<2<72;qU8;:4=2;b>4ge3ty?4;4?:3y]035<5:326<om;|q7<0<72;qU8;<4=2;;>4ge3ty?494?:3y]037<5:3<6<om;|q7<6<72;qU8;>4=2;5>4ge3ty?4?4?:3y]00`<5:3>6<om;|q7<4<72;qU88k4=2;7>4ge3ty?4=4?:3y]00b<5:386<om;|q73c<72;qU88m4=2;1>4ge3ty?;h4?:3y]00d<5:3:6<om;|q7e4<72;qU8:m4=2`1>4ge3ty?m=4?:3y]02d<5:h:6<om;|q7=c<72;qU8:o4=2`3>4ge3ty?5h4?:3y]02?<5:km6<om;|q7=a<72;qU8:64=2cf>4ge3ty?5n4?:3y]021<5:ko6<om;|q7=g<72;qU8:>4=2c5>4ge3ty?5>4?:3y]033<5:3i6<om;|q7<=<72;qU88o4=2;3>4ge3ty?;i4?:3y]00?<5:2m6<om;|q714<72;qU8?j4=2f4><2<uz>?j7>52z\76f=:;m=1::5rs56f>5<5sW>9n63<d6851>{t<=n1<7<t^50b?85c?3<87p};4b83>7}Y<;301>j8:708yv23j3:1>vP;299>7a1=>81v9:6:181[25>278h:490:p01>=838pR9<:;<1g3?3a3ty?8:4?:3y]072<5:n<68k4}r672?6=:rT?>>523e59=7=z{=>>6=4={_616>;4l>0>h6s|45694?4|V=8:70=k7;7`?xu3<:0;6?uQ432896b02<h0q~:;2;296~X39o16?i955`9~w1262909wS:>e:?0`2<202wx89>50;0xZ17c349o;7;8;|q77`<72;qU8<l4=2f4>00<uz>8h7>52z\75d=:;m=1985rs51`>5<5sW>:563<d68:5>{t<:h1<7<t^53;?85c?3??7p};3`83>7}Y<8=01>j8:418yv2413:1>vP;179>7a1==;1v9=7:181[26=278h:4:1:p061=838pR9?;;<1g3?373ty??;4?:3y]045<5:n<69h4}r601?6=:rT?=?523e592`=z{=?36=4={_607>;4l>0=h6s|44594?4|V=9970=k7;;3?xu3=?0;6?uQ423896b02?i0q~::5;296~X3;916?i956c9~w1332909wS:=f:?0`2<1i2wx88=50;0xZ14b349o;786;|q717<72;qU8?94=2f4>3><uz>?m7>52z\75f=:;m=1:95rs51e>5<5sW>:=63<d686=>{t<:>1<7<t^533?85c?3>n7p}>5083>d}:;ok1=l84=3;b>13<5;ko69;4=22`>13<5:;m69;4=3fb>13<5;ij69;4=26e>13<5:9m69;4=2f4>`0<uz9oo7>53z?0`a<3k278hn4>ac9>7ad=<:1v>jk:18185cl3;jn63<dg8e7>{t;l?1<7=t=2g5>1e<5:o?69=4=2g6>4ge3ty8i;4?:3y>7`0=9hh01>k7:g18yv5cj3:1?v3<db87g>;4lk0:mo523ed9b1=z{:o?6=4<{<1f0?7fj278i84;c:?0a=<a<2wx?ik50;1x96c428kj70=j2;3be>;4lo0:m95rs2g2>5<60r78i>4>ac9>6gc=9hk01?h::5:897`32=201?h<:5:897`52=201?h>:5:897`72=201?kj:5:897cc28kj70<jf;6;?857?3>370=?6;6;?857=3>370=?4;6;?857;3>370=?2;6;?85793>370=?0;6;?84an3>370<7f;6;?84?k3>370<63;3be>;51;0:ml5rs2g3>5<5=r78i?4>ac9>66>=<116>>95499>660=<116>>;5499>662=<116>>=5499>664=<116>>?5499>666=<116>5>5499>62`=<116>:k5499>62b=<116>:m5499>62d=<116>:o5499>62?=<116>:65499>63c=<116>;j5499>63e=<116>;l5499>63g=<116>;75499>63>=<116>;95499>630=<116=ko5499>5c?=<116=k65499>5c1=<116=k85499>5c3=<116=k:5499>5c5=<116=k<5499~w6c02908w0=jc;3be>;4mk0:ml523d:95d2<uz9nm7>51dy>7`e=9hh01>=>:0cb?85483;jm63<6`87<>;4>00?463<6987<>;4>>0?463<6787<>;4><0?463<6282ed=:;?81855237690==:;><1855236695dg<5:=>6964=254>1><5:=36964=25:>1><5:=j6964=25a>1><5:=h6964=2:f>1><5:2o6964=2:`>1><5:2i6964=2:b>1><5:226964=2:;>1><5:2<6964=2:5>1><uz9n57>524y>7`d=9hh01<j9:5:894b22=201<j;:5:894b42=201<j=:5:894b62=201<j?:5:894ea2=201<mj:5:8977b2=201??k:5:8977d2=201??m:5:8977f2=201??6:5:8977?2=201??8:5:897712=201?>l:5:8976e2=201?>n:5:8976>2=201?>7:5:897602=201?>9:5:897622=201?>;:5:8973d2=201?;m:5:8973f2=201?;6:5:8973?2=201?;8:5:897312=201?;::5:897332=20q~<mf;296~;5jl0?o63=c182e1=z{;hn6=4;{<0aa?7fj2795<4i3:?1<<<a<2794l4i4:p764=839p1>=>:5a896572=i01>=<:0c7?xu4;90;69u232295dd<5:9?6k:4=210>c2<5:9>6k:4}r0:=?6=:r795h4;5:?1=a<6i=1v?o<:18184>m3;jh63=a982e1=z{;hh6=4={<0:a?7f<279o=4i5:p6<>=838p1?7k:57897?d28k?7p}=a383>7}::0n1=lj4=3c4>4g33ty95:4?:3y>6<e=<<16>4l51`68yv4f93:1>v3=9b82ea=::h<1=l:4}r0:2?6=:r795o4;5:?1=d<6i=1v?o?:18184>j3;jh63=a482e1=z{;3m6=4={<0:e?7fl279m94>a59~w7gd2909w0<m1;66?84e83;j86s|2c494?4|5;h:6<ok;<0af?7f<2wx>oj50;0x97d628k?70<l0;d7?xu5ik0;6?u22c2900=::hl1=l:4}r0a1?6=:r79n=4>ae9>6gg=9h>0q~<na;296~;5io0?963=ad82e1=z{;h?6=4={<0bb?7fl279n44>a59~w7g>2909w0<ne;66?84fl3;j86s|2c194?4|5;kn6<ok;<0a<?7f<2wx>o<50;0x97gc28ko70<m7;3b0>{t;9h1<7<t=233>13<5::m6<o;;|q050<72;q6?<>51`f8967f28k?7p}<2d83>7}:;8:1=l:4=210>c3<uz9;m7>52z?04c<3=278<h4>a59~w6732909w0=?f;3b`>;4900:m95rs22:>5<5s49;i7::;<13`?7f<2wx?<=50;0x966b28ko70=>8;3b0>{t;921<7<t=22g>13<5::h6<o;;|q057<72;q6?=j51`f8967028k?7p}<1083>7}:;9i1=lj4=235>4g33ty8=h4?:3y>775=<<16??<51`68yv5503:1>v3<2282ea=:;;n1=l:4}r11b?6=:r78>>4>a59>765=n?1v>?k:181855:3>>70==1;3b0>{t;;=1<7<t=201>4gc3499o7?n4:p74e=838p1><>:578964728k?7p}<2783>7}:;;;1=lj4=20a>4g33ty8=o4?:3y>776=<<16?<h51`68yv55=3:1>v3<2182ea=:;;k1=l:4}r110?6=:r78=k4>ae9>77?=9h>0q~<>5;291~;6l?0?o63=1d82eg=:9m21j9521ec9b6=:9mi1j;5rs0ag>5<4s4;o:7?nb:?06a<a;278=l4i4:p5a1=838p1<j::5a894b?28k?7p}>cb83>6}:9m?1=ll4=20`>c2<5:;26k:4}r3g=?6=:r7:h94;c:?2`d<6i=1v<mm:18087c<3;jn63<2b8e7>;4900m:6s|1e`94?1|58n869m4=0f`>4g334;oi7h;;<3f4?`234;n>7h9;<3f2?`134;n47h9;|q2gd<72:q6=i=51``8964e2o>01>?7:g68yv7cl3:1>v3>d387g>;6ll0:m95rs0a:>5<4s4;o>7?nb:?06g<a;278=54i6:p5a`=838p1<j>:5a894c728k?7p}>c983>6}:9m;1=ll4=20b>c2<5:;<6k:4}r3f5?6=:r7:h=4;c:?2a7<6i=1v<m8:18087c83;jn63<2`8e7>;49>0m:6s|1d194?4|58im69m4=0g5>4g33ty:o;4?:2y>5f`=9hh01><6:g6896712o>0q~?j7;296~;6kl0?o63>e982e1=z{8i>6=4<{<3`a?7fj278>44i3:?053<a>2wx>:950;7x975?2=i01?6?:0ca?844i3l?70<<c;d0?844m3l=7p}=2g83>6}:::21=ll4=3`a>c5<5;k36k=4}r00=?6=:r79?:4;c:?17d<6i=1v?<j:180844?3;jn63=b`8e0>;5i>0m86s|22`94?4|5;9=69m4=31`>4g33ty9>i4?:2y>660=9hh01?ln:g1897g02o90q~<<d;293~;5;<0?o63=3d82e1=::=:1j9522509b0=::=>1j;5225:9b3=::=k1j;5rs30`>5<4s48897?nb:?1f<<a<279m;4i4:p66`=838p1?=;:5a8972728k?7p}=2c83>6}:::>1=ll4=3`:>c5<5;k=6k=4}r075?6=:r79?>4;c:?107<6i=1v?<n:180844;3;jn63=b98e0>;5i<0m86s|25194?4|5;9969m4=367>4g33ty9>44?:2y>664=9hh01?l7:g1897g22o90q~<;5;296~;5;80?o63=4982e1=z{;836=4<{<005?7fj279n:4i4:?1e1<a<2wx>9750;0x97572=i01?:n:0c7?xu5:>0;6>u222295dd<5;h<6k=4=3c7>c5<uz8=97>52z?1<5<3k279:h4>ac9~w7032909w0<8f;6`?841l3;jn6s|26494?2|5;=m6<om;<00e?`43488o7h;;<00a?`23ty9:>4?:3y>62c=<j16>;m51``8yv40=3:1?v3=7d82eg=:::i1j85222g9b1=z{;<96=4={<04`?2d348=n7?nb:p622=838p1?9k:0ca?844m3l87p}=6083>7}::>i18n5227c95dd<uz8<?7>56z?13f<6ik16>9>5f29>614=n=16>9:5f49>61>=n=16>9o5f59~w7072909w0<8b;6`?84113;jn6s|26094?3|5;=i6<om;<076?`4348?87h;;<07<?`4348?m7h<;|q11c<72;q6>:o54b9>63>=9hh0q~<81;290~;5?h0:mo522569b6=::=<1j9522559b0=z{;?n6=4={<04=?2d348=;7?nb:p626=839p1?96:0ca?843>3l870<;7;d7?xu5=m0;6?u226:90f=::?<1=ll4}r05b?6=:r79;54>ac9>611=n:1v?><:181846m3>h70<?c;3bf>{t:981<7<t=33g>1e<5;:i6<om;|q151<72=q6><j51``894b?2o901<jn:g6894bd2o?0q~<?1;296~;59j0?o63=0`82eg=z{;;86=4<{<02g?7fj27:hl4i5:?2`f<a<2wx>=>50;0x977e2=i01?>6:0ca?xu59;0;6?u220`95dd<58nh6k=4}r3eb?6=:r79=l4;c:?14=<6ik1v??>:185846i3;jn63>dd8e7>;6m90m863>e38e1>;6m?0m863>e98e0>{t9oo1<7<t=33:>1e<5;:<6<om;|q155<72<q6><751``894c72o901<k=:g6894c12o901<k7:g18yv7al3:1>v3=1987g>;58?0:mo5rs32e>5<3s48:47?nb:?2a7<a;27:i94i4:?2a0<a=2wx=km50;0x97702=i01?>::0ca?xu58l0;6>u220595dd<58o?6k=4=0g6>c2<uz;mn7>52z?153<3k279<94>ac9~w76c2909w0<>6;3bf>;6m<0m?6s|24194?4|5;<n69m4=37`>4ge3ty99?4?:3y>63b=<j16>8l51``8yv4293:1>v3=6b87g>;5=h0:mo5rs373>5<5s48=n7:l;<06=?7fj2wx>9h50;0x970f2=i01?;7:0ca?xu5<l0;6?u227;90f=::<=1=ll4}r07`?6=:r79:54;c:?113<6ik1v?:l:181841?3>h70<:5;3bf>{t:=h1<7<t=345>1e<5;??6<om;|q2b4<72;q6>=m54b9>5cg=9hh0q~?i0;296~;58k0?o63>f882eg=z{8om6=4={<03e?2d34;m47?nb:p5`c=838p1?>6:5a894`028ki7p}>ee83>7}::9218n521g495dd<uz;no7>52z?142<3k27:j84>ac9~w4ce2909w0<?6;6`?87a<3;jn6s|1dc94?4|5;:>69m4=0d0>4ge3ty:i44?:3y>652=<j16=k<51``8yv4am3:19v3>f`87g>;48>0:mo521c79b6=::h21j9523e597a=z{;;m6=4={<3e=?2d34;i97?n4:p676=838p1<h7:5a894d128k?7p}=2083>7}:9o=18n521c595d2<uz89>7>52z?2b3<3k27:n54>a59~w7442909w0?i5;6`?87e13;j86s|23694?4|58l?69m4=0`b>4g33ty9>84?:3y>5c5=<j16=ol51`68yv45>3:1>v3>f387g>;6jj0:m95rs2:6>5<3s48>o7:l;<1;a?7fj27:ni4i3:?0`2<4;2wx>5?50;0x973e2=i01<lk:0c7?xu50;0;6?u224c90f=:9ko1=l:4}r0;7?6=:r79944;c:?2fc<6i=1v?6;:18184203>h70?l0;3b0>{t:1?1<7<t=374>1e<58i:6<o;;|q1<3<72;q6>8854b9>5f4=9h>0q~<77;296~;5=<0?o63>c282e1=z{;236=4={<060?2d34;h87?n4:p6=?=83;?w0<i5;60?84a<3>870<i3;60?84a:3>870<i1;60?84a83>870<je;60?84bl3>870<jf;60?857?3>870=?6;60?857=3>870=?4;60?857;3>870=?2;60?85793>870=?0;60?84an3>870<l0;d0?84?i3;j86s|2b;94?4|5;l>69m4=3g1>4g33ty9in4?:5y>6c3=9hh01>>8:5a897de2o>01?k<:g18yv4d03:1>v3=f587g>;5m80:m95rs3ga>5<3s48m87?nb:?043<3k279nl4i6:?1`<<a;2wx>n950;0x97`42=i01?k?:0c7?xu5mh0;69u22g195dd<5::>69m4=3`b>c3<5;n<6k=4}r0`2?6=:r79j?4;c:?1`c<6i=1v?k6:18784a:3;jn63<0587g>;5j00m:63=d48e7>{t:j?1<7<t=3d2>1e<5;nn6<o;;|q1a=<72=q6>k?51``896642=i01?l6:g7897b42o90q~<l4;296~;5n90?o63=de82e1=z{;o<6=4;{<0e4?7fj278<?4;c:?1f=<a>279h<4i3:p6f4=838p1?kj:5a897be28k?7p}=e483>1}::lo1=ll4=223>1e<5;h<6k84=3ag>c5<uz8h=7>52z?1aa<3k279hl4>a59~w7c3290?w0<jd;3bf>;5no0?o63=b68e1>;5kk0m?6s|2b194?4|5;om69m4=3f`>4g33ty9i;4?:5y>6``=9hh01>>>:5a897d?2o?01?mi:g18yv4c03:1>v3=e3871>;5l10:m95rs3g0>5<5s48n>7?;3:?1a6<6i=1v?j9:18084b93>>70<k8;66?84c>3;j86s|2e;94?5|5;o:6<:<;<0g<?7fl279h44>a59~w7b32908w0<j0;66?84c>3>>70<k4;3b0>{t:m=1<7=t=3g3>424348o:7?nd:?1`2<6i=1v?j=:18084cn3>>70<k4;66?84c:3;j86s|2e794?5|5;nm6<:<;<0g0?7fl279h84>a59~w7b72908w0<ke;66?84c:3>>70<k0;3b0>{t:m91<7=t=3ff>424348o>7?nd:?1`6<6i=1v?mj:18084cl3>>70<k0;66?84dm3;j86s|2e394?5|5;no6<:<;<0g4?7fl279h<4>a59~w7ed2908w0<kc;66?84dm3>>70<lc;3b0>{t:jl1<7=t=3f`>424348hi7?nd:?1gc<6i=1v?mn:18084cj3>>70<lc;66?84di3;j86s|2bf94?5|5;ni6<:<;<0`g?7fl279oi4>a59~w7ee2908w0<ka;377>;5kh0:mi522b`95d2<uz8mh7>55z?043<6ik16=o85f29>5g3=n=16>l95f79>7a1=;k1v?hl:186857=3;jn63>b68e7>;6j?0m863=a68e1>;4l>08m6s|2g`94?3|5::?6<om;<3a<?`434;i;7h;;<0b2?`1349o;7=6;|q1bd<72<q6?==51``894d>2o901<l7:g6897g12o?01>j8:2:8yv4a13:19v3<0382eg=:9kk1j>521c;9b1=::h?1j;523e5972=z{;l36=4:{<135?7fj27:no4i3:?2fd<a<279m84i5:?0`2<4>2wx>k950;7x966728ki70?mc;d0?87ej3l?70<n4;d5?85c?39>7p}=f783>1}::ol1=ll4=0``>c2<5;k?6k;4=2f4>62<uz82<7>52z?1<c<3k2795<4>a59~w7>a290<w0<7f;3bf>;50l0m863=948e1>;5180m963=8c8e1>;5000m:63=8`8e2>{t:1n1<7<t=3:`>1e<5;2n6<o;;|q1<f<72>q6>5m51``897>b2o901?7::g6897?62o>01?6m:g6897>>2o?01?6n:g78yv4><3:1?v3=9287g>;51;0?o63=9482e1=z{;396=4={<0:6?7fj279584i3:p7ag=83;8w0=9a;60?85113>870=98;60?851?3>870=96;60?851=3>870=93;60?851:3>870=94;60?850>3>870=84;60?850=3>870=87;60?85003>870=89;60?850i3>870=8b;60?850k3>870=<4;3b0>{t;:o1<7<t=24b>1e<5:?<6<o;;|q024<72=q6?;o51``8961d2=i01><k:g68963?2o90q~=<d;296~;4>00?o63<5782e1=z{:<;6=4;{<15=?7fj278;o4;c:?06f<a>2788h4i3:p76e=838p1>87:5a8963228k?7p}<5g83>1}:;?21=ll4=25b>1e<5:8h6k;4=26`>c5<uz98n7>52z?022<3k278994>a59~w63b290?w0=97;3bf>;4?00?o63<2c8e2>;4<h0m?6s|32c94?4|5:<=69m4=270>4g33ty89i4?:5y>730=9hh01>97:5a8964e2o?01>:7:g18yv5413:1>v3<6487g>;4=;0:m95rs27`>5<3s49=97?nb:?032<3k278>l4i6:?003<a;2wx?>950;0x96042=i01>;?:0c7?xu4=h0;69u237195dd<5:=>69m4=20:>c0<5:>96k=4}r102?6=:r78:?4;c:?00c<6i=1v>;6:187851:3;jn63<7587g>;4:00m963<418e7>{t;:21<7<t=247>1e<5:?:6<o;;|q01g<72=q6?;:51``896112=i01><n:g7896232o90q~=;d;296~;4=>0?963<4e82e1=z{:?36=4={<163?73;278954>a59~w62e2908w0=:6;66?853l3>>70=;b;3b0>{t;=o1<7=t=275>424349?h7?nd:?00`<6i=1v>:6:180852=3>>70=;b;66?85313;j86s|35a94?5|5:?>6<:<;<17f?7fl2788n4>a59~w6202908w0=:4;66?85313>>70=;7;3b0>{t;=k1<7=t=277>424349?57?nd:?00d<6i=1v>:::180852;3>>70=;7;66?853=3;j86s|35:94?5|5:?86<:<;<173?7fl278854>a59~w6242908w0=:2;66?853=3>>70=;3;3b0>{t;=<1<7=t=271>424349?97?nd:?003<6i=1v>:>:18085293>>70=;3;66?85393;j86s|35694?5|5:?:6<:<;<177?7fl278894>a59~w65a2908w0=:0;66?85393>>70=<f;3b0>{t;=81<7=t=273>424349?=7?nd:?007<6i=1v>:?:180853n3;??63<3g82ea=:;=:1=l:4}r15`?6=;r78;;4>ac9>7=>=<j16?<95f49~w60e2908w0=84;3bf>;40?0?o63<178e1>{t;?i1<7=t=256>4ge3493;7:l;<122?`43ty8:h4?:2y>721=9hh01>66:5a896702o90q~=9f;297~;4?10:mo5239c90f=:;821j85rs253>5<4s49<57?nb:?0<g<3k278=54i3:p727=839p1>9n:0ca?85?k3>h70=>9;d6?xu4?;0;6>u236`95dd<5:2o69m4=23:>c5<uz9<?7>53z?03f<6ik16?5k54b9>74g=n:1v>=;:18a85?m3>870=7d;60?85?k3>870=7b;60?85?i3>870=79;60?85?03>870=77;60?85?>3>870=<5;3b0>;4l>08j<5rs2:7>5<3s493h7?nb:?2f`<a;27:ni4i4:?0`2<492wx?5=50;6x96>d28ki70?mf;d0?87em3l?70=k7;13?xu40;0;69u239`95dd<58i;6k=4=0`e>c2<5:n<6?h4}r1;5?6=<r784l4>ac9>5f7=n:16=n>5f59>7a1=:l1v>6?:18785?13;jn63>c38e7>;6k80m863<d681`>{t;>l1<7:t=2:;>4ge34;h?7h<;<3`6?`3349o;7<l;|q03`<72=q6?5951``894e32o901<m<:g6896b02;h0q~=8d;297~;40?0:mo521b69b1=:;m=1>l5rs2f:>5<5=r78mn4;3:?0eg<3;278ml4;3:?0e<<3;278m54;3:?0e2<3;278m84;3:?0e1<3;278m>4;3:?0e7<3;278m<4;3:?0e5<3;2785k4;3:?0=`<3;2785i4;3:?0=f<3;2785l4;3:?0=<<3;278554;3:?0=2<3;2785;4;3:?0=0<3;278594;3:?0=6<3;2785?4;3:?0=4<3;278n?4;3:?0f4<3;278n=4;3:?0ec<3;278mh4;3:?0ea<3;278m;4;3:?0=g<3;2785=4;3:?0<c<3;2794o4>a59~w6ea2909w0=nc;6`?85c?3o>7p}<cd83>7}:;hh18n523e59`1=z{:io6=4={<1be?2d349o;7j=;|q0gf<72;q6?l754b9>7a1=l91v>mm:18185f03>h70=k7;ae?xu4kh0;6?u23`590f=:;m=1oh5rs2a;>5<5s49j97:l;<1g3?ec3ty8o:4?:3y>7d2=<j16?i95cb9~w6e12909w0=n3;6`?85c?3ii7p}<c483>7}:;h818n523e59a6=z{:i?6=4={<1b5?2d349o;7mn;|q0g6<72;q6?l>54b9>7a1=k01v>m=:18185>n3>h70=k7;a;?xu4k80;6?u238g90f=:;m=1o:5rs2a3>5<5s492h7:l;<1g3?e23ty8nk4?:3y>7<e=<j16?i95c59~w6dc2909w0=6a;6`?85c?3i87p}<bb83>7}:;0318n523e59g7=z{:hi6=4={<1:<?2d349o;7k=;|q0fd<72;q6?4954b9>7a1=k81v>l6:18185>>3>h70=k7;a3?xu4j10;6?u238790f=:;m=1nk5rs2`4>5<5s49287:l;<1g3?db3ty8n;4?:3y>7<5=<j16?i95be9~w6d22909w0=62;6`?85c?3hh7p}<b583>7}:;0;18n523e59`g=z{:n=6=4={<1a6?2d349o;7jn;|q0`0<72;q6?o?54b9>7a1=m81v>j;:18185e83>h70=k7;f:?xu4l:0;6?u23`d90f=:;m=1h55rs2f1>5<5s49ji7:l;<1g3?b03ty8h<4?:3y>7db=<j16?i95d79~w6b72909w0=n6;6`?85c?3n>7p}<c883>7}:;0h18n523e59`4=z{:hn6=4={<1:4?2d349o;7m9;|q0f6<72;q6?5h54b9>7a1=jk1v>j7:18184?13;j863<d68f3>{t90:1<7<t=0g5>c3<58o?6<:;;|q2=7<72;q6=h65f49>5`3=9=>0q~?64;296~;5<10m963=478201=z{83=6=4={<07e?`2348?;7?;4:~w1362909wS:=d:?0b?25l2.8ii4>9`9~w12a2909wS:=c:?0b?25k2.8ii4>9c9~w12b2909wS:=b:?0b?25j2.8ii4>9b9~w12c2909wS:=a:?0b?25i2.8ii4>9e9~w12d2909wS:=9:?0b?2512.8ii4>539~w12e2909wS:=8:?0b?2502.8ii4>549~w12>2909wS:=6:?0b?25>2.8ii4>5c9~w12?2909wS:=5:?0b?25=2.8ii4>679~w1202909wS:=4:?0b?25<2.8ii4>709~w1212909wS:=3:?0b?25;2.8ii4>7b9~w1222909wS:=2:?0b?25:2.8ii4>819~w1232909wS:=1:?0b?2592.8ii4>809~w1242909wS:=0:?0b?2582.8ii4>839~w1252909wS:>f:?0b?26n2.8ii4>829~w1262909wS:>e:?0b?26m2.8ii4>859~w1272909wS:>d:?0b?26l2.8ii4>849~w15b2909wS:>b:?0b?26j2.8ii4>879~w15c2909wS:>a:?0b?26i2.8ii4>869~w15d2909wS:>9:?0b?2612.8ii4>899~w15e2909wS:>8:?0b?2602.8ii4>889~w15f2909wS:>7:?0b?26?2.8ii4>8`9~w15>2909wS:>6:?0b?26>2.8ii4>8c9~w15?2909wS:>5:?0b?26=2.8ii4>8b9~w1502909wS:>4:?0b?26<2.8ii4>8e9~w1512909wS:>3:?0b?26;2.8ii4>8d9~w1522909wS:>2:?0b?26:2.8ii4>8g9~w13?2909wS:<3:?0b?24;2.8ii4>919~w1302909wS:<2:?0b?24:2.8ii4>909~w1312909wS:<1:?0b?2492.8ii4>939~w1322909wS:<0:?0b?2482.8ii4>929~w1332909wS:=f:?0b?25n2.8ii4>959~w1342909wS:=e:?0b?25m2.8ii4>949~w1352909wS:=7:?0b?25?2.8ii4>979~w12f2909wS:>c:?0b?26k2.8ii4>969~w15a2909wS:>1:?0b?2692.8ii4>999~w1532909wS:>0:?0b?2682.8ii4>989~w1ce2909wS:jb:?0b?2bj2.8ii4>9d9~w1`02909wS:i7:?0b?2a?2.8ii4>9g9~w1`>2909wS:i9:?0b?2a12.8ii4>a19~w0662909wS;?1:?0b?3792.8ii4>529~w06d2909wS;?c:?0b?37k2.8ii4>559~w1?f2909wS:86:?0b?20>2.8ii4>579~w1??2909wS:85:?0b?20=2.8ii4>569~w1?02909wS:84:?0b?20<2.8ii4>599~w1?12909wS:83:?0b?20;2.8ii4>589~w1?22909wS:82:?0b?20:2.8ii4>5`9~w1?32909wS:81:?0b?2092.8ii4>5b9~w1?52909wS:9f:?0b?21n2.8ii4>5e9~w1?62909wS:9e:?0b?21m2.8ii4>5d9~w1?72909wS:9d:?0b?21l2.8ii4>5g9~w1>a2909wS:9c:?0b?21k2.8ii4>619~w1>b2909wS:9b:?0b?21j2.8ii4>609~w1>c2909wS:9a:?0b?21i2.8ii4>639~w1>d2909wS:99:?0b?2112.8ii4>629~w1>e2909wS:98:?0b?2102.8ii4>659~w1>f2909wS:97:?0b?21?2.8ii4>649~w1>>2909wS:96:?0b?21>2.8ii4>669~w1>02909wS:94:?0b?21<2.8ii4>699~w1>12909wS:93:?0b?21;2.8ii4>689~w1>22909wS:92:?0b?21:2.8ii4>6`9~w1>32909wS:91:?0b?2192.8ii4>6c9~w1>42909wS:90:?0b?2182.8ii4>6b9~w1>52909wS::f:?0b?22n2.8ii4>6e9~w1>62909wS::e:?0b?22m2.8ii4>6d9~w1>72909wS::d:?0b?22l2.8ii4>6g9~w11a2909wS::c:?0b?22k2.8ii4>719~w11b2909wS::b:?0b?22j2.8ii4>739~w1g62909wS:8c:?0b?20k2.8ii4>729~w1g72909wS:8b:?0b?20j2.8ii4>759~w1?a2909wS:8a:?0b?20i2.8ii4>749~w1?b2909wS:89:?0b?2012.8ii4>779~w1?c2909wS:88:?0b?2002.8ii4>769~w1?d2909wS:87:?0b?20?2.8ii4>799~w1?e2909wS:80:?0b?2082.8ii4>789~w1?42909wS:95:?0b?21=2.8ii4>7`9~w1>?2909wS::a:?0b?22i2.8ii4>7c9~w11c2909wS::9:?0b?2212.8ii4>7e9~w1g42909wS:n3:?0b?2f;2.8ii4>7d9~w1g>2909wS:n9:?0b?2f12.8ii4>7g9~yk?3>3:1>vF<ed9~j<202909wE=je:m=1>=838pD>kj;|l:0<<72;qC?hk4}o;7e?6=:rB8ih5rn86a>5<5sA9ni6sa95a94?4|@:on7p`64e83>7}O;lo0qc7;e;296~N4ml1vb4:i:181M5bm2we58>50;0xL6cb3td29<4?:3yK7`c<ug3>>7>52zJ0a`=zf0?86=4={I1fa>{i1<>1<7<tH2gf?xh>=<0;6?uG3dg8yk?2>3:1>vF<ed9~j<302909wE=je:m=0>=838pD>kj;|l:1<<72;qC?hk4}o;6e?6=:rB8ih5rn87a>5<5sA9ni6sa94a94?4|@:on7p`65e83>7}O;lo0qc7:e;296~N4ml1vb4;i:181M5bm2we5;>50;0xL6cb3td2:<4?:3yK7`c<ug3=>7>52zJ0a`=zf0<86=4={I1fa>{i1?>1<7<tH2gf?xh>><0;6?uG3dg8yk?1>3:1>vF<ed9~j<002909wE=je:m=3>=838pD>kj;|l:2<<72;qC?hk4}o;5e?6=:rB8ih5rn84a>5<5sA9ni6sa97a94?4|@:on7p`66e83>7}O;lo0qc79e;296~N4ml1vb48i:181M5bm2we5:>50;0xL6cb3td2;<4?:3yK7`c<ug3<>7>52zJ0a`=zf0=86=4={I1fa>{i1>>1<7<tH2gf?xh>?<0;6?uG3dg8yk?0>3:1>vF<ed9~j<102909wE=je:m=2>=838pD>kj;|l:3<<72;qC?hk4}o;4e?6=:rB8ih5rn85a>5<5sA9ni6sa96a94?4|@:on7p`67e83>7}O;lo0qc78e;296~N4ml1vb49i:181M5bm2we55>50;0xL6cb3td24<4?:3yK7`c<ug33>7>52zJ0a`=zf0286=4={I1fa>{i11>1<7<tH2gf?xh>0<0;6?uG3dg8yk??>3:1>vF<ed9~j<>02909wE=je:m==>=838pD>kj;|l:<<<72;qC?hk4}o;;e?6=:rB8ih5rn8:a>5<5sA9ni6sa99a94?4|@:on7p`68e83>7}O;lo0qc77e;296~N4ml1vb46i:181M5bm2we54>50;0xL6cb3td25<4?:3yK7`c<ug32>7>52zJ0a`=zf0386=4={I1fa>{i10>1<7<tH2gf?xh0k;0;6<uG3dg8yk>693:1=vF<ed9~j=75290:wE=je:m<45=83;pD>kj;|l;51<728qC?hk4}o:21?6=9rB8ih5rn935>5<6sA9ni6sa80594?7|@:on7p`71983>4}O;lo0qc6>9;295~N4ml1vb5?n:182M5bm2we4<l50;3xL6cb3td3=n4?:0yK7`c<ug2:h7>51zJ0a`=zf1;n6=4>{I1fa>{i08l1<7?tH2gf?xh?:90;6<uG3dg8yk>593:1=vF<ed9~j=45290:wE=je:m<75=83;pD>kj;|l;61<728qC?hk4}o:11?6=9rB8ih5rn905>5<6sA9ni6sa83594?7|@:on7p`72983>4}O;lo0qc6=9;295~N4ml1vb5<n:182M5bm2we4?l50;3xL6cb3td3>n4?:0yK7`c<ug29h7>51zJ0a`=zf18n6=4>{I1fa>{i0;l1<7?tH2gf?xh?;90;6<uG3dg8yk>493:1=vF<ed9~j=55290:wE=je:m<65=83;pD>kj;|l;71<728qC?hk4}o:01?6=9rB8ih5rn915>5<6sA9ni6sa82594?7|@:on7p`73983>4}O;lo0qc6<9;295~N4ml1vb5=n:182M5bm2we4>l50;3xL6cb3td3?n4?:0yK7`c<ug28h7>51zJ0a`=zf19n6=4>{I1fa>{i0:l1<7?tH2gf?xh?<90;6<uG3dg8yk>393:1=vF<ed9~j=25290:wE=je:m<15=83;pD>kj;|l;01<728qC?hk4}o:71?6=9rB8ih5rn965>5<6sA9ni6sa85594?7|@:on7p`74983>4}O;lo0qc6;9;295~N4ml1vb5:n:182M5bm2we49l50;3xL6cb3td38n4?:0yK7`c<ug2?h7>51zJ0a`=zf1>n6=4>{I1fa>{i0=l1<7?tH2gf?xh?=90;6<uG3dg8yk>293:1=vF<ed9~j=35290:wE=je:m<05=83;pD>kj;|l;11<728qC?hk4}o:61?6=9rB8ih5rn975>5<6sA9ni6sa84594?7|@:on7p`75983>4}O;lo0qc6:9;295~N4ml1vb5;n:182M5bm2we48l50;3xL6cb3td39n4?:0yK7`c<ug2>h7>51zJ0a`=zf1?n6=4>{I1fa>{i0<l1<7?tH2gf?xh?>90;6<uG3dg8yk>193:1=vF<ed9~j=05290:wE=je:m<35=83;pD>kj;|l;21<728qC?hk4}o:51?6=9rB8ih5rn945>5<6sA9ni6sa87594?7|@:on7p`76983>4}O;lo0qc699;295~N4ml1vb58n:182M5bm2we4;l50;3xL6cb3td3:n4?:0yK7`c<ug2=h7>51zJ0a`=zf1<n6=4>{I1fa>{i0?l1<7?tH2gf?xh??90;6<uG3dg8yk>093:1=vF<ed9~j=15290:wE=je:m<25=83;pD>kj;|l;31<728qC?hk4}o:41?6=9rB8ih5rn955>5<6sA9ni6sa86594?7|@:on7p`77983>4}O;lo0qc689;295~N4ml1vb59n:182M5bm2we4:l50;3xL6cb3td3;n4?:0yK7`c<ug2<h7>51zJ0a`=zf1=n6=4>{I1fa>{i0>l1<7?tH2gf?xh?090;6<uG3dg8yk>?93:1=vF<ed9~j=>5290:wE=je:m<=5=83;pD>kj;|l;<1<728qC?hk4}o:;1?6=9rB8ih5rn9:5>5<6sA9ni6sa89594?7|@:on7p`78983>4}O;lo0qc679;295~N4ml1vb56n:182M5bm2we45l50;3xL6cb3td34n4?:0yK7`c<ug23h7>51zJ0a`=zf12n6=4>{I1fa>{i01l1<7?tH2gf?xh?190;6<uG3dg8yk>>93:1=vF<ed9~j=?5290:wE=je:m<<5=83;pD>kj;|l;=1<728qC?hk4}o::1?6=9rB8ih5rn9;5>5<6sA9ni6sa88594?7|@:on7p`79983>4}O;lo0qc669;295~N4ml1vb57n:182M5bm2we44l50;3xL6cb3td35n4?:0yK7`c<ug22h7>51zJ0a`=zf13n6=4>{I1fa>{i00l1<7?tH2gf?xh?i90;6<uG3dg8yk>f93:1=vF<ed9~j=g5290:wE=je:m<d5=83;pD>kj;|l;e1<728qC?hk4}o:b1?6=9rB8ih5rn9c5>5<6sA9ni6sa8`594?7|@:on7p`7a983>4}O;lo0qc6n9;295~N4ml1vb5on:182M5bm2we4ll50;3xL6cb3td3mn4?:0yK7`c<ug2jh7>51zJ0a`=zf1kn6=4>{I1fa>{i0hl1<7?tH2gf?xh?j90;6<uG3dg8yk>e93:1=vF<ed9~j=d5290:wE=je:m<g5=83;pD>kj;|l;f1<728qC?hk4}o:a1?6=9rB8ih5rn9`5>5<6sA9ni6sa8c594?7|@:on7p`7b983>4}O;lo0qc6m9;295~N4ml1vb5ln:182M5bm2we4ol50;3xL6cb3td3nn4?:0yK7`c<ug2ih7>51zJ0a`=zf1hn6=4>{I1fa>{i0kl1<7?tH2gf?xh?k90;6<uG3dg8yk>d93:1=vF<ed9~j=e5290:wE=je:m<f5=83;pD>kj;|l;g1<728qC?hk4}o:`1?6=9rB8ih5rn9a5>5<6sA9ni6sa8b594?7|@:on7p`7c983>4}O;lo0qc6l9;295~N4ml1vb5mn:182M5bm2we4nl50;3xL6cb3td3on4?:0yK7`c<ug2hh7>51zJ0a`=zf1in6=4>{I1fa>{i0jl1<7?tH2gf?xh?l90;6<uG3dg8yk>c93:1=vF<ed9~j=b5290:wE=je:m<a5=83;pD>kj;|l;`1<728qC?hk4}o:g1?6=9rB8ih5rn9f5>5<6sA9ni6sa8e594?7|@:on7p`7d983>4}O;lo0qc6k9;295~N4ml1vb5jn:182M5bm2we4il50;3xL6cb3td3hn4?:0yK7`c<ug2oh7>51zJ0a`=zf1nn6=4>{I1fa>{i0ml1<7?tH2gf?xh?m90;6<uG3dg8yk>b93:1=vF<ed9~j=c5290:wE=je:m<`5=83;pD>kj;|l;a1<728qC?hk4}o:f1?6=9rB8ih5rn9g5>5<6sA9ni6sa8d594?7|@:on7p`7e983>4}O;lo0qc6j9;295~N4ml1vb5kn:182M5bm2we4hl50;3xL6cb3td3in4?:0yK7`c<ug2nh7>51zJ0a`=zf1on6=4>{I1fa>{i0ll1<7?tH2gf?xh?n90;6<uG3dg8yk>a93:1=vF<ed9~j=`5290:wE=je:m<c5=83;pD>kj;|l;b1<728qC?hk4}o:e1?6=9rB8ih5rn9d5>5<6sA9ni6sa8g594?7|@:on7p`7f983>4}O;lo0qc6i9;295~N4ml1vb5hn:182M5bm2we4kl50;3xL6cb3td3jn4?:0yK7`c<ug2mh7>51zJ0a`=zf1ln6=4>{I1fa>{i0ol1<7?tH2gf?xh>890;6<uG3dg8yk?793:1=vF<ed9~j<65290:wE=je:m=55=83;pD>kj;|l:41<728qC?hk4}o;31?6=9rB8ih5rn825>5<6sA9ni6sa91594?7|@:on7p`60983>4}O;lo0qc7?9;295~N4ml1vb4>n:182M5bm2we5=l50;3xL6cb3td2<n4?:0yK7`c<ug3;h7>51zJ0a`=zf0:n6=4>{I1fa>{i19l1<7?tH2gf?xh>990;6<uG3dg8yk?693:1=vF<ed9~j<75290:wE=je:m=45=83;pD>kj;|l:51<728qC?hk4}o;21?6=9rB8ih5rn835>5<6sA9ni6sa90594?7|@:on7p`61983>4}O;lo0qc7>9;295~N4ml1vb4?n:182M5bm2we5<l50;3xL6cb3td2=n4?:0yK7`c<ug3:h7>51zJ0a`=zf0;n6=4>{I1fa>{i18l1<7?tH2gf?xh>:90;6<uG3dg8yk?593:1=vF<ed9~j<45290:wE=je:m=75=83;pD>kj;|l:61<728qC?hk4}o;11?6=9rB8ih5rn805>5<6sA9ni6sa93594?7|@:on7p`62983>4}O;lo0qc7=9;295~N4ml1vb4<n:182M5bm2we5?l50;3xL6cb3td2>n4?:0yK7`c<ug39h7>51zJ0a`=zf08n6=4>{I1fa>{i1;l1<7?tH2gf?xh>;90;6<uG3dg8yk?493:1=vF<ed9~j<55290:wE=je:m=65=83;pD>kj;|l:71<728qC?hk4}o;01?6=9rB8ih5rn815>5<6sA9ni6sa92594?7|@:on7p`63983>4}O;lo0qc7<9;295~N4ml1vb4=n:182M5bm2we5>l50;3xL6cb3td2?n4?:0yK7`c<ug38h7>51zJ0a`=zf09n6=4>{I1fa>{i1:l1<7?tH2gf?xh><90;6<uG3dg8yk?393:1=vF<ed9~j<25290:wE=je:m=15=83;pD>kj;|l:01<728qC?hk4}o;71?6=9rB8ih5r}|CDF}e9h09j;h93c:~DED|8tJK\vsO@
\ No newline at end of file

Added: usrp2/trunk/fpga/coregen/fifo_xlnx_512x36_2clk.sym
===================================================================
--- usrp2/trunk/fpga/coregen/fifo_xlnx_512x36_2clk.sym                          
(rev 0)
+++ usrp2/trunk/fpga/coregen/fifo_xlnx_512x36_2clk.sym  2008-07-15 23:44:44 UTC 
(rev 8894)
@@ -0,0 +1,60 @@
+VERSION 5
+BEGIN SYMBOL fifo_xlnx_512x36_2clk
+SYMBOLTYPE BLOCK
+TIMESTAMP 2008 7 14 23 25 11
+SYMPIN 0 80 Input din[35:0]
+SYMPIN 0 144 Input wr_en
+SYMPIN 0 176 Input wr_clk
+SYMPIN 0 240 Input rd_en
+SYMPIN 0 272 Input rd_clk
+SYMPIN 144 704 Input rst
+SYMPIN 576 80 Output dout[35:0]
+SYMPIN 576 208 Output full
+SYMPIN 576 432 Output empty
+BEGIN DISPLAY 32 32 TEXT fifo_xlnx_512x36_2clk
+    FONT 40 "Arial"
+END DISPLAY
+RECTANGLE N 32 32 544 672 
+BEGIN LINE W 0 80 32 80 
+END LINE
+BEGIN DISPLAY 36 80 PIN din[35:0] ATTR PinName
+    FONT 24 "Arial"
+END DISPLAY
+LINE N 0 144 32 144 
+BEGIN DISPLAY 36 144 PIN wr_en ATTR PinName
+    FONT 24 "Arial"
+END DISPLAY
+LINE N 0 176 32 176 
+BEGIN DISPLAY 36 176 PIN wr_clk ATTR PinName
+    FONT 24 "Arial"
+END DISPLAY
+LINE N 0 240 32 240 
+BEGIN DISPLAY 36 240 PIN rd_en ATTR PinName
+    FONT 24 "Arial"
+END DISPLAY
+LINE N 0 272 32 272 
+BEGIN DISPLAY 36 272 PIN rd_clk ATTR PinName
+    FONT 24 "Arial"
+END DISPLAY
+LINE N 144 704 144 672 
+BEGIN DISPLAY 144 668 PIN rst ATTR PinName
+    ALIGNMENT BCENTER
+    FONT 24 "Arial"
+END DISPLAY
+BEGIN LINE W 576 80 544 80 
+END LINE
+BEGIN DISPLAY 540 80 PIN dout[35:0] ATTR PinName
+    ALIGNMENT RIGHT
+    FONT 24 "Arial"
+END DISPLAY
+LINE N 576 208 544 208 
+BEGIN DISPLAY 540 208 PIN full ATTR PinName
+    ALIGNMENT RIGHT
+    FONT 24 "Arial"
+END DISPLAY
+LINE N 576 432 544 432 
+BEGIN DISPLAY 540 432 PIN empty ATTR PinName
+    ALIGNMENT RIGHT
+    FONT 24 "Arial"
+END DISPLAY
+END SYMBOL

Added: usrp2/trunk/fpga/coregen/fifo_xlnx_512x36_2clk.v
===================================================================
--- usrp2/trunk/fpga/coregen/fifo_xlnx_512x36_2clk.v                            
(rev 0)
+++ usrp2/trunk/fpga/coregen/fifo_xlnx_512x36_2clk.v    2008-07-15 23:44:44 UTC 
(rev 8894)
@@ -0,0 +1,169 @@
+/*******************************************************************************
+*     This file is owned and controlled by Xilinx and must be used             
*
+*     solely for design, simulation, implementation and creation of            
*
+*     design files limited to Xilinx devices or technologies. Use              
*
+*     with non-Xilinx devices or technologies is expressly prohibited          
*
+*     and immediately terminates your license.                                 
*
+*                                                                              
*
+*     XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS"            
*
+*     SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR                  
*
+*     XILINX DEVICES.  BY PROVIDING THIS DESIGN, CODE, OR INFORMATION          
*
+*     AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION              
*
+*     OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS                
*
+*     IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT,                  
*
+*     AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE         
*
+*     FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY DISCLAIMS ANY                 
*
+*     WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE                  
*
+*     IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR           
*
+*     REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF          
*
+*     INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS          
*
+*     FOR A PARTICULAR PURPOSE.                                                
*
+*                                                                              
*
+*     Xilinx products are not intended for use in life support                 
*
+*     appliances, devices, or systems. Use in such applications are            
*
+*     expressly prohibited.                                                    
*
+*                                                                              
*
+*     (c) Copyright 1995-2007 Xilinx, Inc.                                     
*
+*     All rights reserved.                                                     
*
+*******************************************************************************/
+// The synthesis directives "translate_off/translate_on" specified below are
+// supported by Xilinx, Mentor Graphics and Synplicity synthesis
+// tools. Ensure they are correct for your synthesis tool(s).
+
+// You must compile the wrapper file fifo_xlnx_512x36_2clk.v when simulating
+// the core, fifo_xlnx_512x36_2clk. When compiling the wrapper file, be sure to
+// reference the XilinxCoreLib Verilog simulation library. For detailed
+// instructions, please refer to the "CORE Generator Help".
+
+`timescale 1ns/1ps
+
+module fifo_xlnx_512x36_2clk(
+       din,
+       rd_clk,
+       rd_en,
+       rst,
+       wr_clk,
+       wr_en,
+       dout,
+       empty,
+       full);
+
+
+input [35 : 0] din;
+input rd_clk;
+input rd_en;
+input rst;
+input wr_clk;
+input wr_en;
+output [35 : 0] dout;
+output empty;
+output full;
+
+// synthesis translate_off
+
+      FIFO_GENERATOR_V4_3 #(
+               .C_COMMON_CLOCK(0),
+               .C_COUNT_TYPE(0),
+               .C_DATA_COUNT_WIDTH(9),
+               .C_DEFAULT_VALUE("BlankString"),
+               .C_DIN_WIDTH(36),
+               .C_DOUT_RST_VAL("0"),
+               .C_DOUT_WIDTH(36),
+               .C_ENABLE_RLOCS(0),
+               .C_FAMILY("spartan3"),
+               .C_FULL_FLAGS_RST_VAL(1),
+               .C_HAS_ALMOST_EMPTY(0),
+               .C_HAS_ALMOST_FULL(0),
+               .C_HAS_BACKUP(0),
+               .C_HAS_DATA_COUNT(0),
+               .C_HAS_INT_CLK(0),
+               .C_HAS_MEMINIT_FILE(0),
+               .C_HAS_OVERFLOW(0),
+               .C_HAS_RD_DATA_COUNT(0),
+               .C_HAS_RD_RST(0),
+               .C_HAS_RST(1),
+               .C_HAS_SRST(0),
+               .C_HAS_UNDERFLOW(0),
+               .C_HAS_VALID(0),
+               .C_HAS_WR_ACK(0),
+               .C_HAS_WR_DATA_COUNT(0),
+               .C_HAS_WR_RST(0),
+               .C_IMPLEMENTATION_TYPE(2),
+               .C_INIT_WR_PNTR_VAL(0),
+               .C_MEMORY_TYPE(1),
+               .C_MIF_FILE_NAME("BlankString"),
+               .C_MSGON_VAL(1),
+               .C_OPTIMIZATION_MODE(0),
+               .C_OVERFLOW_LOW(0),
+               .C_PRELOAD_LATENCY(0),
+               .C_PRELOAD_REGS(1),
+               .C_PRIM_FIFO_TYPE("512x36"),
+               .C_PROG_EMPTY_THRESH_ASSERT_VAL(4),
+               .C_PROG_EMPTY_THRESH_NEGATE_VAL(5),
+               .C_PROG_EMPTY_TYPE(0),
+               .C_PROG_FULL_THRESH_ASSERT_VAL(511),
+               .C_PROG_FULL_THRESH_NEGATE_VAL(510),
+               .C_PROG_FULL_TYPE(0),
+               .C_RD_DATA_COUNT_WIDTH(9),
+               .C_RD_DEPTH(512),
+               .C_RD_FREQ(1),
+               .C_RD_PNTR_WIDTH(9),
+               .C_UNDERFLOW_LOW(0),
+               .C_USE_DOUT_RST(0),
+               .C_USE_ECC(0),
+               .C_USE_EMBEDDED_REG(0),
+               .C_USE_FIFO16_FLAGS(0),
+               .C_USE_FWFT_DATA_COUNT(0),
+               .C_VALID_LOW(0),
+               .C_WR_ACK_LOW(0),
+               .C_WR_DATA_COUNT_WIDTH(9),
+               .C_WR_DEPTH(512),
+               .C_WR_FREQ(1),
+               .C_WR_PNTR_WIDTH(9),
+               .C_WR_RESPONSE_LATENCY(1))
+       inst (
+               .DIN(din),
+               .RD_CLK(rd_clk),
+               .RD_EN(rd_en),
+               .RST(rst),
+               .WR_CLK(wr_clk),
+               .WR_EN(wr_en),
+               .DOUT(dout),
+               .EMPTY(empty),
+               .FULL(full),
+               .CLK(),
+               .INT_CLK(),
+               .BACKUP(),
+               .BACKUP_MARKER(),
+               .PROG_EMPTY_THRESH(),
+               .PROG_EMPTY_THRESH_ASSERT(),
+               .PROG_EMPTY_THRESH_NEGATE(),
+               .PROG_FULL_THRESH(),
+               .PROG_FULL_THRESH_ASSERT(),
+               .PROG_FULL_THRESH_NEGATE(),
+               .RD_RST(),
+               .SRST(),
+               .WR_RST(),
+               .ALMOST_EMPTY(),
+               .ALMOST_FULL(),
+               .DATA_COUNT(),
+               .OVERFLOW(),
+               .PROG_EMPTY(),
+               .PROG_FULL(),
+               .VALID(),
+               .RD_DATA_COUNT(),
+               .UNDERFLOW(),
+               .WR_ACK(),
+               .WR_DATA_COUNT(),
+               .SBITERR(),
+               .DBITERR());
+
+
+// synthesis translate_on
+
+// XST black box declaration
+// box_type "black_box"
+// synthesis attribute box_type of fifo_xlnx_512x36_2clk is "black_box"
+
+endmodule
+

Added: usrp2/trunk/fpga/coregen/fifo_xlnx_512x36_2clk.veo
===================================================================
--- usrp2/trunk/fpga/coregen/fifo_xlnx_512x36_2clk.veo                          
(rev 0)
+++ usrp2/trunk/fpga/coregen/fifo_xlnx_512x36_2clk.veo  2008-07-15 23:44:44 UTC 
(rev 8894)
@@ -0,0 +1,51 @@
+/*******************************************************************************
+*     This file is owned and controlled by Xilinx and must be used             
*
+*     solely for design, simulation, implementation and creation of            
*
+*     design files limited to Xilinx devices or technologies. Use              
*
+*     with non-Xilinx devices or technologies is expressly prohibited          
*
+*     and immediately terminates your license.                                 
*
+*                                                                              
*
+*     XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS"            
*
+*     SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR                  
*
+*     XILINX DEVICES.  BY PROVIDING THIS DESIGN, CODE, OR INFORMATION          
*
+*     AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION              
*
+*     OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS                
*
+*     IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT,                  
*
+*     AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE         
*
+*     FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY DISCLAIMS ANY                 
*
+*     WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE                  
*
+*     IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR           
*
+*     REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF          
*
+*     INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS          
*
+*     FOR A PARTICULAR PURPOSE.                                                
*
+*                                                                              
*
+*     Xilinx products are not intended for use in life support                 
*
+*     appliances, devices, or systems. Use in such applications are            
*
+*     expressly prohibited.                                                    
*
+*                                                                              
*
+*     (c) Copyright 1995-2007 Xilinx, Inc.                                     
*
+*     All rights reserved.                                                     
*
+*******************************************************************************/
+// The following must be inserted into your Verilog file for this
+// core to be instantiated. Change the instance name and port connections
+// (in parentheses) to your own signal names.
+
+//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG
+fifo_xlnx_512x36_2clk YourInstanceName (
+       .din(din), // Bus [35 : 0] 
+       .rd_clk(rd_clk),
+       .rd_en(rd_en),
+       .rst(rst),
+       .wr_clk(wr_clk),
+       .wr_en(wr_en),
+       .dout(dout), // Bus [35 : 0] 
+       .empty(empty),
+       .full(full));
+
+// INST_TAG_END ------ End INSTANTIATION Template ---------
+
+// You must compile the wrapper file fifo_xlnx_512x36_2clk.v when simulating
+// the core, fifo_xlnx_512x36_2clk. When compiling the wrapper file, be sure to
+// reference the XilinxCoreLib Verilog simulation library. For detailed
+// instructions, please refer to the "CORE Generator Help".
+

Added: usrp2/trunk/fpga/coregen/fifo_xlnx_512x36_2clk.vhd
===================================================================
--- usrp2/trunk/fpga/coregen/fifo_xlnx_512x36_2clk.vhd                          
(rev 0)
+++ usrp2/trunk/fpga/coregen/fifo_xlnx_512x36_2clk.vhd  2008-07-15 23:44:44 UTC 
(rev 8894)
@@ -0,0 +1,150 @@
+--------------------------------------------------------------------------------
+--     This file is owned and controlled by Xilinx and must be used           
--
+--     solely for design, simulation, implementation and creation of          
--
+--     design files limited to Xilinx devices or technologies. Use            
--
+--     with non-Xilinx devices or technologies is expressly prohibited        
--
+--     and immediately terminates your license.                               
--
+--                                                                            
--
+--     XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS"          
--
+--     SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR                
--
+--     XILINX DEVICES.  BY PROVIDING THIS DESIGN, CODE, OR INFORMATION        
--
+--     AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION            
--
+--     OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS              
--
+--     IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT,                
--
+--     AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE       
--
+--     FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY DISCLAIMS ANY               
--
+--     WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE                
--
+--     IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR         
--
+--     REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF        
--
+--     INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS        
--
+--     FOR A PARTICULAR PURPOSE.                                              
--
+--                                                                            
--
+--     Xilinx products are not intended for use in life support               
--
+--     appliances, devices, or systems. Use in such applications are          
--
+--     expressly prohibited.                                                  
--
+--                                                                            
--
+--     (c) Copyright 1995-2007 Xilinx, Inc.                                   
--
+--     All rights reserved.                                                   
--
+--------------------------------------------------------------------------------
+-- You must compile the wrapper file fifo_xlnx_512x36_2clk.vhd when simulating
+-- the core, fifo_xlnx_512x36_2clk. When compiling the wrapper file, be sure to
+-- reference the XilinxCoreLib VHDL simulation library. For detailed
+-- instructions, please refer to the "CORE Generator Help".
+
+-- The synthesis directives "translate_off/translate_on" specified
+-- below are supported by Xilinx, Mentor Graphics and Synplicity
+-- synthesis tools. Ensure they are correct for your synthesis tool(s).
+
+LIBRARY ieee;
+USE ieee.std_logic_1164.ALL;
+-- synthesis translate_off
+Library XilinxCoreLib;
+-- synthesis translate_on
+ENTITY fifo_xlnx_512x36_2clk IS
+       port (
+       din: IN std_logic_VECTOR(35 downto 0);
+       rd_clk: IN std_logic;
+       rd_en: IN std_logic;
+       rst: IN std_logic;
+       wr_clk: IN std_logic;
+       wr_en: IN std_logic;
+       dout: OUT std_logic_VECTOR(35 downto 0);
+       empty: OUT std_logic;
+       full: OUT std_logic);
+END fifo_xlnx_512x36_2clk;
+
+ARCHITECTURE fifo_xlnx_512x36_2clk_a OF fifo_xlnx_512x36_2clk IS
+-- synthesis translate_off
+component wrapped_fifo_xlnx_512x36_2clk
+       port (
+       din: IN std_logic_VECTOR(35 downto 0);
+       rd_clk: IN std_logic;
+       rd_en: IN std_logic;
+       rst: IN std_logic;
+       wr_clk: IN std_logic;
+       wr_en: IN std_logic;
+       dout: OUT std_logic_VECTOR(35 downto 0);
+       empty: OUT std_logic;
+       full: OUT std_logic);
+end component;
+
+-- Configuration specification 
+       for all : wrapped_fifo_xlnx_512x36_2clk use entity 
XilinxCoreLib.fifo_generator_v4_3(behavioral)
+               generic map(
+                       c_has_int_clk => 0,
+                       c_rd_freq => 1,
+                       c_wr_response_latency => 1,
+                       c_has_srst => 0,
+                       c_has_rd_data_count => 0,
+                       c_din_width => 36,
+                       c_has_wr_data_count => 0,
+                       c_full_flags_rst_val => 1,
+                       c_implementation_type => 2,
+                       c_family => "spartan3",
+                       c_use_embedded_reg => 0,
+                       c_has_wr_rst => 0,
+                       c_wr_freq => 1,
+                       c_use_dout_rst => 0,
+                       c_underflow_low => 0,
+                       c_has_meminit_file => 0,
+                       c_has_overflow => 0,
+                       c_preload_latency => 0,
+                       c_dout_width => 36,
+                       c_msgon_val => 1,
+                       c_rd_depth => 512,
+                       c_default_value => "BlankString",
+                       c_mif_file_name => "BlankString",
+                       c_has_underflow => 0,
+                       c_has_rd_rst => 0,
+                       c_has_almost_full => 0,
+                       c_has_rst => 1,
+                       c_data_count_width => 9,
+                       c_has_wr_ack => 0,
+                       c_use_ecc => 0,
+                       c_wr_ack_low => 0,
+                       c_common_clock => 0,
+                       c_rd_pntr_width => 9,
+                       c_use_fwft_data_count => 0,
+                       c_has_almost_empty => 0,
+                       c_rd_data_count_width => 9,
+                       c_enable_rlocs => 0,
+                       c_wr_pntr_width => 9,
+                       c_overflow_low => 0,
+                       c_prog_empty_type => 0,
+                       c_optimization_mode => 0,
+                       c_wr_data_count_width => 9,
+                       c_preload_regs => 1,
+                       c_dout_rst_val => "0",
+                       c_has_data_count => 0,
+                       c_prog_full_thresh_negate_val => 510,
+                       c_wr_depth => 512,
+                       c_prog_empty_thresh_negate_val => 5,
+                       c_prog_empty_thresh_assert_val => 4,
+                       c_has_valid => 0,
+                       c_init_wr_pntr_val => 0,
+                       c_prog_full_thresh_assert_val => 511,
+                       c_use_fifo16_flags => 0,
+                       c_has_backup => 0,
+                       c_valid_low => 0,
+                       c_prim_fifo_type => "512x36",
+                       c_count_type => 0,
+                       c_prog_full_type => 0,
+                       c_memory_type => 1);
+-- synthesis translate_on
+BEGIN
+-- synthesis translate_off
+U0 : wrapped_fifo_xlnx_512x36_2clk
+               port map (
+                       din => din,
+                       rd_clk => rd_clk,
+                       rd_en => rd_en,
+                       rst => rst,
+                       wr_clk => wr_clk,
+                       wr_en => wr_en,
+                       dout => dout,
+                       empty => empty,
+                       full => full);
+-- synthesis translate_on
+
+END fifo_xlnx_512x36_2clk_a;
+

Added: usrp2/trunk/fpga/coregen/fifo_xlnx_512x36_2clk.vho
===================================================================
--- usrp2/trunk/fpga/coregen/fifo_xlnx_512x36_2clk.vho                          
(rev 0)
+++ usrp2/trunk/fpga/coregen/fifo_xlnx_512x36_2clk.vho  2008-07-15 23:44:44 UTC 
(rev 8894)
@@ -0,0 +1,72 @@
+--------------------------------------------------------------------------------
+--     This file is owned and controlled by Xilinx and must be used           
--
+--     solely for design, simulation, implementation and creation of          
--
+--     design files limited to Xilinx devices or technologies. Use            
--
+--     with non-Xilinx devices or technologies is expressly prohibited        
--
+--     and immediately terminates your license.                               
--
+--                                                                            
--
+--     XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS"          
--
+--     SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR                
--
+--     XILINX DEVICES.  BY PROVIDING THIS DESIGN, CODE, OR INFORMATION        
--
+--     AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION            
--
+--     OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS              
--
+--     IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT,                
--
+--     AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE       
--
+--     FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY DISCLAIMS ANY               
--
+--     WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE                
--
+--     IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR         
--
+--     REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF        
--
+--     INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS        
--
+--     FOR A PARTICULAR PURPOSE.                                              
--
+--                                                                            
--
+--     Xilinx products are not intended for use in life support               
--
+--     appliances, devices, or systems. Use in such applications are          
--
+--     expressly prohibited.                                                  
--
+--                                                                            
--
+--     (c) Copyright 1995-2007 Xilinx, Inc.                                   
--
+--     All rights reserved.                                                   
--
+--------------------------------------------------------------------------------
+-- The following code must appear in the VHDL architecture header:
+
+------------- Begin Cut here for COMPONENT Declaration ------ COMP_TAG
+component fifo_xlnx_512x36_2clk
+       port (
+       din: IN std_logic_VECTOR(35 downto 0);
+       rd_clk: IN std_logic;
+       rd_en: IN std_logic;
+       rst: IN std_logic;
+       wr_clk: IN std_logic;
+       wr_en: IN std_logic;
+       dout: OUT std_logic_VECTOR(35 downto 0);
+       empty: OUT std_logic;
+       full: OUT std_logic);
+end component;
+
+-- Synplicity black box declaration
+attribute syn_black_box : boolean;
+attribute syn_black_box of fifo_xlnx_512x36_2clk: component is true;
+
+-- COMP_TAG_END ------ End COMPONENT Declaration ------------
+
+-- The following code must appear in the VHDL architecture
+-- body. Substitute your own instance name and net names.
+
+------------- Begin Cut here for INSTANTIATION Template ----- INST_TAG
+your_instance_name : fifo_xlnx_512x36_2clk
+               port map (
+                       din => din,
+                       rd_clk => rd_clk,
+                       rd_en => rd_en,
+                       rst => rst,
+                       wr_clk => wr_clk,
+                       wr_en => wr_en,
+                       dout => dout,
+                       empty => empty,
+                       full => full);
+-- INST_TAG_END ------ End INSTANTIATION Template ------------
+
+-- You must compile the wrapper file fifo_xlnx_512x36_2clk.vhd when simulating
+-- the core, fifo_xlnx_512x36_2clk. When compiling the wrapper file, be sure to
+-- reference the XilinxCoreLib VHDL simulation library. For detailed
+-- instructions, please refer to the "CORE Generator Help".
+

Added: usrp2/trunk/fpga/coregen/fifo_xlnx_512x36_2clk.xco
===================================================================
--- usrp2/trunk/fpga/coregen/fifo_xlnx_512x36_2clk.xco                          
(rev 0)
+++ usrp2/trunk/fpga/coregen/fifo_xlnx_512x36_2clk.xco  2008-07-15 23:44:44 UTC 
(rev 8894)
@@ -0,0 +1,82 @@
+##############################################################
+#
+# Xilinx Core Generator version K.37
+# Date: Mon Jul 14 23:25:42 2008
+#
+##############################################################
+#
+#  This file contains the customisation parameters for a
+#  Xilinx CORE Generator IP GUI. It is strongly recommended
+#  that you do not manually alter this file as it may cause
+#  unexpected and unsupported behavior.
+#
+##############################################################
+#
+# BEGIN Project Options
+SET addpads = False
+SET asysymbol = True
+SET busformat = BusFormatAngleBracketNotRipped
+SET createndf = False
+SET designentry = VHDL
+SET device = xc3s2000
+SET devicefamily = spartan3
+SET flowvendor = Foundation_iSE
+SET formalverification = False
+SET foundationsym = False
+SET implementationfiletype = Ngc
+SET package = fg456
+SET removerpms = False
+SET simulationfiles = Behavioral
+SET speedgrade = -5
+SET verilogsim = True
+SET vhdlsim = True
+# END Project Options
+# BEGIN Select
+SELECT Fifo_Generator family Xilinx,_Inc. 4.3
+# END Select
+# BEGIN Parameters
+CSET almost_empty_flag=false
+CSET almost_full_flag=false
+CSET component_name=fifo_xlnx_512x36_2clk
+CSET data_count=false
+CSET data_count_width=9
+CSET disable_timing_violations=false
+CSET dout_reset_value=0
+CSET empty_threshold_assert_value=4
+CSET empty_threshold_negate_value=5
+CSET enable_ecc=false
+CSET enable_int_clk=false
+CSET fifo_implementation=Independent_Clocks_Block_RAM
+CSET full_flags_reset_value=1
+CSET full_threshold_assert_value=511
+CSET full_threshold_negate_value=510
+CSET input_data_width=36
+CSET input_depth=512
+CSET output_data_width=36
+CSET output_depth=512
+CSET overflow_flag=false
+CSET overflow_sense=Active_High
+CSET performance_options=First_Word_Fall_Through
+CSET programmable_empty_type=No_Programmable_Empty_Threshold
+CSET programmable_full_type=No_Programmable_Full_Threshold
+CSET read_clock_frequency=1
+CSET read_data_count=false
+CSET read_data_count_width=9
+CSET reset_pin=true
+CSET reset_type=Asynchronous_Reset
+CSET underflow_flag=false
+CSET underflow_sense=Active_High
+CSET use_dout_reset=false
+CSET use_embedded_registers=false
+CSET use_extra_logic=false
+CSET valid_flag=false
+CSET valid_sense=Active_High
+CSET write_acknowledge_flag=false
+CSET write_acknowledge_sense=Active_High
+CSET write_clock_frequency=1
+CSET write_data_count=false
+CSET write_data_count_width=9
+# END Parameters
+GENERATE
+# CRC: 4753b01f
+

Added: 
usrp2/trunk/fpga/coregen/fifo_xlnx_512x36_2clk_fifo_generator_v4_3_xst_1.lso
===================================================================
--- 
usrp2/trunk/fpga/coregen/fifo_xlnx_512x36_2clk_fifo_generator_v4_3_xst_1.lso    
                            (rev 0)
+++ 
usrp2/trunk/fpga/coregen/fifo_xlnx_512x36_2clk_fifo_generator_v4_3_xst_1.lso    
    2008-07-15 23:44:44 UTC (rev 8894)
@@ -0,0 +1,3 @@
+blkmemdp_v6_2
+blk_mem_gen_v2_6
+fifo_generator_v4_3

Added: 
usrp2/trunk/fpga/coregen/fifo_xlnx_512x36_2clk_fifo_generator_v4_3_xst_1.ngc_xst.xrpt
===================================================================
--- 
usrp2/trunk/fpga/coregen/fifo_xlnx_512x36_2clk_fifo_generator_v4_3_xst_1.ngc_xst.xrpt
                               (rev 0)
+++ 
usrp2/trunk/fpga/coregen/fifo_xlnx_512x36_2clk_fifo_generator_v4_3_xst_1.ngc_xst.xrpt
       2008-07-15 23:44:44 UTC (rev 8894)
@@ -0,0 +1,101 @@
+<?xml version="1.0" encoding="UTF-8" standalone="yes" ?>
+<document OS="lin64" product="ISE" version="10.1.02">
+
+  <!--The data in this file is primarily intended for consumption by Xilinx 
tools.
+    The structure and the elements are likely to change over the next few 
releases.
+    This means code written to parse this file will need to be revisited each 
subsequent release.-->
+
+  <application stringID="Xst" timeStamp="Mon Jul 14 16:25:16 2008">
+    <section stringID="XST_HDL_SYNTHESIS_REPORT">
+      <item dataType="int" stringID="XST_COUNTERS" value="2">
+        <item dataType="int" stringID="XST_9BIT_UP_COUNTER" value="2"/>
+      </item>
+      <item dataType="int" stringID="XST_REGISTERS" value="29">
+        <item dataType="int" stringID="XST_1BIT_REGISTER" value="15"/>
+        <item dataType="int" stringID="XST_2BIT_REGISTER" value="1"/>
+        <item dataType="int" stringID="XST_3BIT_REGISTER" value="1"/>
+        <item dataType="int" stringID="XST_36BIT_REGISTER" value="1"/>
+        <item dataType="int" stringID="XST_9BIT_REGISTER" value="11"/>
+      </item>
+      <item dataType="int" stringID="XST_XORS" value="68">
+        <item dataType="int" stringID="XST_1BIT_XOR2" value="68"/>
+      </item>
+    </section>
+    <section stringID="XST_ADVANCED_HDL_SYNTHESIS_REPORT">
+      <item dataType="int" stringID="XST_FSMS" value="1"/>
+      <item dataType="int" stringID="XST_COUNTERS" value="2">
+        <item dataType="int" stringID="XST_9BIT_UP_COUNTER" value="2"/>
+      </item>
+      <item dataType="int" stringID="XST_REGISTERS" value="155">
+        <item dataType="int" stringID="XST_FLIPFLOPS" value="155"/>
+      </item>
+      <item dataType="int" stringID="XST_XORS" value="68">
+        <item dataType="int" stringID="XST_1BIT_XOR2" value="68"/>
+      </item>
+    </section>
+    <section stringID="XST_FINAL_REGISTER_REPORT">
+      <item dataType="int" stringID="XST_REGISTERS" value="170">
+        <item dataType="int" stringID="XST_FLIPFLOPS" value="170"/>
+      </item>
+    </section>
+    <section stringID="XST_PARTITION_REPORT">
+      <section stringID="XST_PARTITION_IMPLEMENTATION_STATUS">
+        <section stringID="XST_NO_PARTITIONS_WERE_FOUND_IN_THIS_DESIGN"/>
+      </section>
+    </section>
+    <section stringID="XST_FINAL_REPORT">
+      <section stringID="XST_FINAL_RESULTS">
+        <item stringID="XST_TOP_LEVEL_OUTPUT_FILE_NAME" 
value="tmp/_cg/fifo_xlnx_512x36_2clk_fifo_generator_v4_3_xst_1.ngc"/>
+        <item stringID="XST_OUTPUT_FORMAT" value="NGC"/>
+        <item stringID="XST_OPTIMIZATION_GOAL" value="SPEED"/>
+        <item stringID="XST_KEEP_HIERARCHY" value="no"/>
+      </section>
+      <section stringID="XST_DESIGN_STATISTICS">
+        <item stringID="XST_IOS" value="177"/>
+      </section>
+      <section stringID="XST_CELL_USAGE">
+        <item dataType="int" stringID="XST_BELS" value="142">
+          <item dataType="int" stringID="XST_GND" value="1"/>
+          <item dataType="int" stringID="XST_LUT1" value="18"/>
+          <item dataType="int" stringID="XST_LUT2" value="28"/>
+          <item dataType="int" stringID="XST_LUT2L" value="2"/>
+          <item dataType="int" stringID="XST_LUT3" value="7"/>
+          <item dataType="int" stringID="XST_LUT3L" value="2"/>
+          <item dataType="int" stringID="XST_LUT4" value="29"/>
+          <item dataType="int" stringID="XST_MUXCY" value="36"/>
+          <item dataType="int" stringID="XST_VCC" value="1"/>
+          <item dataType="int" stringID="XST_XORCY" value="18"/>
+        </item>
+        <item dataType="int" stringID="XST_FLIPFLOPSLATCHES" value="170">
+          <item dataType="int" stringID="XST_FD" value="4"/>
+          <item dataType="int" stringID="XST_FDC" value="74"/>
+          <item dataType="int" stringID="XST_FDCE" value="42"/>
+          <item dataType="int" stringID="XST_FDE" value="36"/>
+          <item dataType="int" stringID="XST_FDP" value="9"/>
+          <item dataType="int" stringID="XST_FDPE" value="5"/>
+        </item>
+        <item dataType="int" stringID="XST_RAMS" value="1">
+          <item dataType="int" stringID="XST_RAMB16S36S36" value="1"/>
+        </item>
+      </section>
+    </section>
+    <section stringID="XST_DEVICE_UTILIZATION_SUMMARY">
+      <item stringID="XST_SELECTED_DEVICE" value="3s2000fg456-5"/>
+      <item AVAILABLE="20480" dataType="int" stringID="XST_NUMBER_OF_SLICES" 
value="113"/>
+      <item AVAILABLE="40960" dataType="int" 
stringID="XST_NUMBER_OF_SLICE_FLIP_FLOPS" value="170"/>
+      <item AVAILABLE="40960" dataType="int" 
stringID="XST_NUMBER_OF_4_INPUT_LUTS" value="86"/>
+      <item dataType="int" stringID="XST_NUMBER_OF_IOS" value="177"/>
+      <item AVAILABLE="333" dataType="int" 
stringID="XST_NUMBER_OF_BONDED_IOBS" value="0"/>
+      <item AVAILABLE="40" dataType="int" stringID="XST_NUMBER_OF_BRAMS" 
value="1"/>
+    </section>
+    <section stringID="XST_PARTITION_RESOURCE_SUMMARY">
+      <section stringID="XST_NO_PARTITIONS_WERE_FOUND_IN_THIS_DESIGN"/>
+    </section>
+    <section stringID="XST_ERRORS_STATISTICS">
+      <item dataType="int" filtered="0" stringID="XST_NUMBER_OF_ERRORS" 
value="0"/>
+      <item dataType="int" filtered="0" stringID="XST_NUMBER_OF_WARNINGS" 
value="131"/>
+      <item dataType="int" filtered="0" stringID="XST_NUMBER_OF_INFOS" 
value="16"/>
+    </section>
+  </application>
+
+</document>

Added: usrp2/trunk/fpga/coregen/fifo_xlnx_512x36_2clk_flist.txt
===================================================================
--- usrp2/trunk/fpga/coregen/fifo_xlnx_512x36_2clk_flist.txt                    
        (rev 0)
+++ usrp2/trunk/fpga/coregen/fifo_xlnx_512x36_2clk_flist.txt    2008-07-15 
23:44:44 UTC (rev 8894)
@@ -0,0 +1,12 @@
+# Output products list for <fifo_xlnx_512x36_2clk>
+fifo_xlnx_512x36_2clk.asy
+fifo_xlnx_512x36_2clk.ngc
+fifo_xlnx_512x36_2clk.sym
+fifo_xlnx_512x36_2clk.v
+fifo_xlnx_512x36_2clk.veo
+fifo_xlnx_512x36_2clk.vhd
+fifo_xlnx_512x36_2clk.vho
+fifo_xlnx_512x36_2clk.xco
+fifo_xlnx_512x36_2clk_fifo_generator_v4_3_xst_1.ngc_xst.xrpt
+fifo_xlnx_512x36_2clk_flist.txt
+fifo_xlnx_512x36_2clk_xmdf.tcl

Added: usrp2/trunk/fpga/coregen/fifo_xlnx_512x36_2clk_readme.txt
===================================================================
--- usrp2/trunk/fpga/coregen/fifo_xlnx_512x36_2clk_readme.txt                   
        (rev 0)
+++ usrp2/trunk/fpga/coregen/fifo_xlnx_512x36_2clk_readme.txt   2008-07-15 
23:44:44 UTC (rev 8894)
@@ -0,0 +1,55 @@
+The following files were generated for 'fifo_xlnx_512x36_2clk' in directory 
+/home/matt/usrp2/fpga/coregen:
+
+fifo_xlnx_512x36_2clk.asy:
+   Graphical symbol information file. Used by the ISE tools and some
+   third party tools to create a symbol representing the core.
+
+fifo_xlnx_512x36_2clk.ngc:
+   Binary Xilinx implementation netlist file containing the information
+   required to implement the module in a Xilinx (R) FPGA.
+
+fifo_xlnx_512x36_2clk.sym:
+   Please see the core data sheet.
+
+fifo_xlnx_512x36_2clk.v:
+   Verilog wrapper file provided to support functional simulation.
+   This file contains simulation model customization data that is
+   passed to a parameterized simulation model for the core.
+
+fifo_xlnx_512x36_2clk.veo:
+   VEO template file containing code that can be used as a model for
+   instantiating a CORE Generator module in a Verilog design.
+
+fifo_xlnx_512x36_2clk.vhd:
+   VHDL wrapper file provided to support functional simulation. This
+   file contains simulation model customization data that is passed to
+   a parameterized simulation model for the core.
+
+fifo_xlnx_512x36_2clk.vho:
+   VHO template file containing code that can be used as a model for
+   instantiating a CORE Generator module in a VHDL design.
+
+fifo_xlnx_512x36_2clk.xco:
+   CORE Generator input file containing the parameters used to
+   regenerate a core.
+
+fifo_xlnx_512x36_2clk_fifo_generator_v4_3_xst_1.ngc_xst.xrpt:
+   Please see the core data sheet.
+
+fifo_xlnx_512x36_2clk_flist.txt:
+   Text file listing all of the output files produced when a customized
+   core was generated in the CORE Generator.
+
+fifo_xlnx_512x36_2clk_readme.txt:
+   Text file indicating the files generated and how they are used.
+
+fifo_xlnx_512x36_2clk_xmdf.tcl:
+   ISE Project Navigator interface file. ISE uses this file to determine
+   how the files output by CORE Generator for the core can be integrated
+   into your ISE project.
+
+
+Please see the Xilinx CORE Generator online help for further details on
+generated files and how to use them.
+

Added: usrp2/trunk/fpga/coregen/fifo_xlnx_512x36_2clk_xmdf.tcl
===================================================================
--- usrp2/trunk/fpga/coregen/fifo_xlnx_512x36_2clk_xmdf.tcl                     
        (rev 0)
+++ usrp2/trunk/fpga/coregen/fifo_xlnx_512x36_2clk_xmdf.tcl     2008-07-15 
23:44:44 UTC (rev 8894)
@@ -0,0 +1,84 @@
+# The package naming convention is <core_name>_xmdf
+package provide fifo_xlnx_512x36_2clk_xmdf 1.0
+
+# This includes some utilities that support common XMDF operations
+package require utilities_xmdf
+
+# Define a namespace for this package. The name of the name space
+# is <core_name>_xmdf
+namespace eval ::fifo_xlnx_512x36_2clk_xmdf {
+# Use this to define any statics
+}
+
+# Function called by client to rebuild the params and port arrays
+# Optional when the use context does not require the param or ports
+# arrays to be available.
+proc ::fifo_xlnx_512x36_2clk_xmdf::xmdfInit { instance } {
+# Variable containg name of library into which module is compiled
+# Recommendation: <module_name>
+# Required
+utilities_xmdf::xmdfSetData $instance Module Attributes Name 
fifo_xlnx_512x36_2clk
+}
+# ::fifo_xlnx_512x36_2clk_xmdf::xmdfInit
+
+# Function called by client to fill in all the xmdf* data variables
+# based on the current settings of the parameters
+proc ::fifo_xlnx_512x36_2clk_xmdf::xmdfApplyParams { instance } {
+
+set fcount 0
+# Array containing libraries that are assumed to exist
+# Examples include unisim and xilinxcorelib
+# Optional
+# In this example, we assume that the unisim library will
+# be magically
+# available to the simulation and synthesis tool
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library
+utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path 
fifo_xlnx_512x36_2clk.asy
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type asy
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path 
fifo_xlnx_512x36_2clk.ngc
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type ngc
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path 
fifo_xlnx_512x36_2clk.sym
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type symbol
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path 
fifo_xlnx_512x36_2clk.v
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path 
fifo_xlnx_512x36_2clk.veo
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog_template
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path 
fifo_xlnx_512x36_2clk.vhd
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type vhdl
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path 
fifo_xlnx_512x36_2clk.vho
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type vhdl_template
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path 
fifo_xlnx_512x36_2clk.xco
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path 
fifo_xlnx_512x36_2clk_fifo_generator_v4_3_xst_1.ngc_xst.xrpt
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path 
fifo_xlnx_512x36_2clk_xmdf.tcl
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module 
fifo_xlnx_512x36_2clk
+incr fcount
+
+}
+
+# ::gen_comp_name_xmdf::xmdfApplyParams

Modified: usrp2/trunk/fpga/eth/mac_txfifo_int.v
===================================================================
--- usrp2/trunk/fpga/eth/mac_txfifo_int.v       2008-07-15 22:51:59 UTC (rev 
8893)
+++ usrp2/trunk/fpga/eth/mac_txfifo_int.v       2008-07-15 23:44:44 UTC (rev 
8894)
@@ -1,6 +1,6 @@
 
 module mac_txfifo_int
-  (input clk, input rst,
+  (input clk, input rst, input mac_clk,
 
    // To MAC
    input Tx_mac_wa,
@@ -17,16 +17,20 @@
    output rd_error_o,
    input rd_sop_i,
    input rd_eop_i);
-   
-   
-   // Might as well use a shortfifo here since they are basically free
+
    wire  empty, full, sfifo_write, sfifo_read;
    wire [33:0] sfifo_in, sfifo_out;
-   
+
+   /*
    shortfifo #(.WIDTH(34)) txmac_sfifo
      (.clk(clk),.rst(rst),.clear(0),
       .datain(sfifo_in),.write(sfifo_write),.full(full),
       .dataout(sfifo_out),.read(sfifo_read),.empty(empty));
+    */
+   fifo_xlnx_512x36_2clk mac_tx_fifo_2clk
+     (.rst(rst),
+      .wr_clk(clk),.din({2'b0,sfifo_in}),.full(full),.wr_en(sfifo_write),
+      .rd_clk(mac_clk),.dout(sfifo_out),.empty(empty),.rd_en(sfifo_read));
    
    // MAC side signals
    //  We are allowed to do one more write after we are told the FIFO is full

Modified: usrp2/trunk/fpga/eth/rtl/verilog/MAC_top.v
===================================================================
--- usrp2/trunk/fpga/eth/rtl/verilog/MAC_top.v  2008-07-15 22:51:59 UTC (rev 
8893)
+++ usrp2/trunk/fpga/eth/rtl/verilog/MAC_top.v  2008-07-15 23:44:44 UTC (rev 
8894)
@@ -252,7 +252,8 @@
      U_MAC_tx(
     .Reset               ( Reset                ),
     .Clk                 ( MAC_tx_clk_div       ),
-    .Clk_user            ( Clk_user             ),
+    //.Clk_user            ( Clk_user             ),
+    .Clk_user            ( MAC_tx_clk_div             ),
 
     // PHY interface
     .TxD                 ( MTxD                 ),

Modified: usrp2/trunk/fpga/top/u2_core/u2_core.v
===================================================================
--- usrp2/trunk/fpga/top/u2_core/u2_core.v      2008-07-15 22:51:59 UTC (rev 
8893)
+++ usrp2/trunk/fpga/top/u2_core/u2_core.v      2008-07-15 23:44:44 UTC (rev 
8894)
@@ -145,8 +145,8 @@
    wire [31:0]         debug_gpio_0, debug_gpio_1;
    wire [31:0]         atr_lines;
 
-   wire [31:0]         debug_rx, debug_mac0, debug_mac1, debug_txc, 
debug_serdes0, debug_serdes1, debug_serdes2,
-               debug_rx_dsp;
+   wire [31:0]         debug_rx, debug_mac0, debug_mac1, debug_tx_dsp, 
debug_txc, 
+               debug_serdes0, debug_serdes1, debug_serdes2, debug_rx_dsp;
    // 
///////////////////////////////////////////////////////////////////////////////////////////////
    // Wishbone Single Master INTERCON
    parameter   dw = 32;  // Data bus width
@@ -432,7 +432,7 @@
       .wr_error_o(wr2_error),.wr_ready_i(wr2_ready),.wr_full_i(wr2_full) );
 
    mac_txfifo_int mac_txfifo_int
-     (.clk(dsp_clk),.rst(dsp_rst),
+     (.clk(dsp_clk),.rst(dsp_rst),.mac_clk(clk_to_mac),
       .Tx_mac_wa(Tx_mac_wa),.Tx_mac_wr(Tx_mac_wr),.Tx_mac_data(Tx_mac_data),
       .Tx_mac_BE(Tx_mac_BE),.Tx_mac_sop(Tx_mac_sop),.Tx_mac_eop(Tx_mac_eop),
       .rd_dat_i(rd2_dat),.rd_read_o(rd2_read),.rd_done_o(rd2_done),
@@ -540,7 +540,7 @@
      (.clk(dsp_clk),.rst(dsp_rst),
       .set_stb(set_stb),.set_addr(set_addr),.set_data(set_data),
       .dac_a(dac_a),.dac_b(dac_b),
-      .sample(sample_tx), .run(run_tx), .strobe(strobe_tx) );
+      .sample(sample_tx), .run(run_tx), .strobe(strobe_tx), 
.debug(debug_tx_dsp) );
 
    assign dsp_rst = wb_rst;
 
@@ -621,10 +621,12 @@
    assign      debug_clk[0] = wb_clk;
    assign      debug_clk[1] = dsp_clk; 
    
-   //   assign      debug = {{strobe_rx,/*adc_ovf_a*/ 1'b0,adc_a},
+   //assign      debug = {{strobe_rx,/*adc_ovf_a*/ 1'b0,adc_a},
    //                  {run_rx,/*adc_ovf_b*/ 1'b0,adc_b}};
 
-   assign      debug = 0; // debug_serdes0;
+   assign      debug = debug_tx_dsp;
+   
+   //assign      debug = 0; // debug_serdes0;
    assign      debug_gpio_0 = 0; // debug_serdes1;
    assign      debug_gpio_1 = 32'b0; 
    





reply via email to

[Prev in Thread] Current Thread [Next in Thread]