commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] r8988 - gnuradio/branches/developers/gnychis/fpga/usrp


From: gnychis
Subject: [Commit-gnuradio] r8988 - gnuradio/branches/developers/gnychis/fpga/usrp/fpga/toplevel/usrp_inband_usb
Date: Wed, 23 Jul 2008 17:48:53 -0600 (MDT)

Author: gnychis
Date: 2008-07-23 17:48:52 -0600 (Wed, 23 Jul 2008)
New Revision: 8988

Modified:
   
gnuradio/branches/developers/gnychis/fpga/usrp/fpga/toplevel/usrp_inband_usb/usrp_inband_usb.v
Log:
counting up

Modified: 
gnuradio/branches/developers/gnychis/fpga/usrp/fpga/toplevel/usrp_inband_usb/usrp_inband_usb.v
===================================================================
--- 
gnuradio/branches/developers/gnychis/fpga/usrp/fpga/toplevel/usrp_inband_usb/usrp_inband_usb.v
      2008-07-23 23:45:22 UTC (rev 8987)
+++ 
gnuradio/branches/developers/gnychis/fpga/usrp/fpga/toplevel/usrp_inband_usb/usrp_inband_usb.v
      2008-07-23 23:48:52 UTC (rev 8988)
@@ -119,6 +119,8 @@
    always @(posedge clk64)        // reset the timestamp clock on TX DSP reset
      if(tx_dsp_reset)
        timestamp_clock <= #1 32'd0;
+     else
+       timestamp_clock <= timestamp_clock + 32'd1;
 
    //Connection RX inband <-> TX inband
    wire rx_WR;





reply via email to

[Prev in Thread] Current Thread [Next in Thread]