commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] r9020 - gnuradio/branches/developers/gnychis/fpga/usrp


From: gnychis
Subject: [Commit-gnuradio] r9020 - gnuradio/branches/developers/gnychis/fpga/usrp/fpga/inband_lib/testbenches
Date: Fri, 25 Jul 2008 16:23:46 -0600 (MDT)

Author: gnychis
Date: 2008-07-25 16:23:46 -0600 (Fri, 25 Jul 2008)
New Revision: 9020

Modified:
   
gnuradio/branches/developers/gnychis/fpga/usrp/fpga/inband_lib/testbenches/tb_timestamps.v
Log:
work in progress

Modified: 
gnuradio/branches/developers/gnychis/fpga/usrp/fpga/inband_lib/testbenches/tb_timestamps.v
===================================================================
--- 
gnuradio/branches/developers/gnychis/fpga/usrp/fpga/inband_lib/testbenches/tb_timestamps.v
  2008-07-25 22:09:43 UTC (rev 9019)
+++ 
gnuradio/branches/developers/gnychis/fpga/usrp/fpga/inband_lib/testbenches/tb_timestamps.v
  2008-07-25 22:23:46 UTC (rev 9020)
@@ -37,11 +37,14 @@
 
   // I am not sure of this...
   strobe_gen sgen
-    (.timestamp_clock(timestamp_clock), .reset(reset), .rxclk(rxclk), 
.rxstrobe(rxstrobe));
+    (.timestamp_clock(timestamp_clock), .reset(reset), .rxclk(rxclk), 
.rxstrobe(rxstrobe), .rate(8'd64), strobe_in(1'b1));
 
   always
     #5 rxclk = ~rxclk;
 
+  always
+    #7 usbclk = ~usbclk;
+
   initial
     begin
       bus_reset = 1;
@@ -51,12 +54,8 @@
       rxclk   = 0;
       ch_0    = 0;
       ch_1    = 0;
-    end
 
-    begin
-    @(posedge rxstrobe)
-      ch_0 = 16'd0;
-      ch_1 = 16'd1;
+     #40 reset = 1'b0; 
+
     end  
-  end
 endmodule





reply via email to

[Prev in Thread] Current Thread [Next in Thread]