commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] r9602 - in gnuradio/branches/developers/ets/inband/usr


From: ets
Subject: [Commit-gnuradio] r9602 - in gnuradio/branches/developers/ets/inband/usrp/fpga: inband_lib toplevel/usrp_inband_usb
Date: Thu, 18 Sep 2008 11:01:48 -0600 (MDT)

Author: ets
Date: 2008-09-18 11:01:46 -0600 (Thu, 18 Sep 2008)
New Revision: 9602

Modified:
   
gnuradio/branches/developers/ets/inband/usrp/fpga/inband_lib/rx_buffer_inband.v
   
gnuradio/branches/developers/ets/inband/usrp/fpga/toplevel/usrp_inband_usb/config.vh
   
gnuradio/branches/developers/ets/inband/usrp/fpga/toplevel/usrp_inband_usb/usrp_inband_usb.v
Log:
fixed 1 chan build

Modified: 
gnuradio/branches/developers/ets/inband/usrp/fpga/inband_lib/rx_buffer_inband.v
===================================================================
--- 
gnuradio/branches/developers/ets/inband/usrp/fpga/inband_lib/rx_buffer_inband.v 
    2008-09-18 16:56:25 UTC (rev 9601)
+++ 
gnuradio/branches/developers/ets/inband/usrp/fpga/inband_lib/rx_buffer_inband.v 
    2008-09-18 17:01:46 UTC (rev 9602)
@@ -414,13 +414,13 @@
        assign dbg_ph_full_1            = dbg_ph_full[1];
        assign dbg_cd_wrusedw_1         = dbg_cd_wrusedw[1];
        assign dbg_cd_full_1            = dbg_cd_full[1];
-
+/*
        assign dbg_sample_counter_2     = dbg_sample_counter[2];
        assign dbg_num_pkt_2            = num_pkt[2];
        assign dbg_ph_full_2            = dbg_ph_full[2];
        assign dbg_cd_wrusedw_2         = dbg_cd_wrusedw[2];
        assign dbg_cd_full_2            = dbg_cd_full[2];
-
+*/
        //Chan Selector
        assign dbg_chans_ready          = chans_ready;
        assign dbg_chan_num                     = chan_num;

Modified: 
gnuradio/branches/developers/ets/inband/usrp/fpga/toplevel/usrp_inband_usb/config.vh
===================================================================
--- 
gnuradio/branches/developers/ets/inband/usrp/fpga/toplevel/usrp_inband_usb/config.vh
        2008-09-18 16:56:25 UTC (rev 9601)
+++ 
gnuradio/branches/developers/ets/inband/usrp/fpga/toplevel/usrp_inband_usb/config.vh
        2008-09-18 17:01:46 UTC (rev 9602)
@@ -31,10 +31,10 @@
 // ====================================================================
 
 // Uncomment this for 1 rx channel (w/ halfband) & 1 transmit channel
-//  `include "../include/common_config_1rxhb_1tx.vh"
+  `include "../include/common_config_1rxhb_1tx.vh"
 
 // Uncomment this for 2 rx channels (w/ halfband) & 2 transmit channels
-  `include "../include/common_config_2rxhb_2tx.vh"
+//  `include "../include/common_config_2rxhb_2tx.vh"
 
 // Uncomment this for 4 rx channels (w/o halfband) & 0 transmit channels
 //`include "../include/common_config_4rx_0tx.vh"

Modified: 
gnuradio/branches/developers/ets/inband/usrp/fpga/toplevel/usrp_inband_usb/usrp_inband_usb.v
===================================================================
--- 
gnuradio/branches/developers/ets/inband/usrp/fpga/toplevel/usrp_inband_usb/usrp_inband_usb.v
        2008-09-18 16:56:25 UTC (rev 9601)
+++ 
gnuradio/branches/developers/ets/inband/usrp/fpga/toplevel/usrp_inband_usb/usrp_inband_usb.v
        2008-09-18 17:01:46 UTC (rev 9602)
@@ -269,8 +269,9 @@
                               .ddc1_in_i(ddc1_in_i),.ddc1_in_q(ddc1_in_q),
                               .ddc2_in_i(ddc2_in_i),.ddc2_in_q(ddc2_in_q),
                               
.ddc3_in_i(ddc3_in_i),.ddc3_in_q(ddc3_in_q),.rx_numchan(rx_numchan));
+ 
    `ifdef RX_IN_BAND
-   rx_buffer_inband rx_buffer
+   rx_buffer_inband #(.NUM_CHAN(`RX_CAP_NCHAN)) rx_buffer
      ( .usbclk(usbclk),.bus_reset(rx_bus_reset),.reset(rx_dsp_reset),
        .reset_regs(rx_dsp_reset),
        
.usbdata(usbdata_out),.RD(RD),.have_pkt_rdy(have_pkt_rdy),.rx_overrun(rx_overrun),
@@ -289,10 +290,6 @@
           .debugbus(tx_debugbus),
           .rssi_0(rssi_0), .rssi_1(rssi_1), .rssi_2(rssi_2), .rssi_3(rssi_3),
           .tx_underrun(tx_underrun));
-    
-    `ifdef RX_DUAL
-      defparam rx_buffer.NUM_CHAN=2;
-    `endif
 
    `else
    rx_buffer rx_buffer





reply via email to

[Prev in Thread] Current Thread [Next in Thread]