commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] gnuradio.git at gnuradio.org branch, master, updated.


From: Git Repository
Subject: [Commit-gnuradio] gnuradio.git at gnuradio.org branch, master, updated. bf76534044a1bbcc665f0400a53d1070cae8caf0
Date: Thu, 1 Oct 2009 13:08:33 -0600 (MDT)

This is an automated email from the git hooks/post-receive script. It was
generated because a ref change was pushed to the repository containing
the project "gnuradio.git at gnuradio.org".

The branch, master has been updated
       via  bf76534044a1bbcc665f0400a53d1070cae8caf0 (commit)
       via  4743bf771fed8405b08194d8c7fb72bf8110eab3 (commit)
       via  21e931766545ff93dda5ef1b72dd03f3786967ab (commit)
       via  413d26237e93b8b019c719ed186e228a8eeb41b8 (commit)
       via  147de5cd3e57a07914673a31fb73a35ebf18b3a2 (commit)
       via  d37030e27d9e9c922b432c04252b1636f5160c3c (commit)
       via  4dfa2ad7deab0a89784906b377dbea271ba08118 (commit)
       via  1e3521c90f63e70e8ec4dc97574bc8b46366fc1e (commit)
       via  016b2fb7b8da8bf10e7ee9e6465adb8d317c0a43 (commit)
       via  5c46578ba936de57e80594540804c964aa408f73 (commit)
       via  35ada01aa8ae838d6d75bf063725218fa7e18f5f (commit)
       via  ab47612cf0b6f2226d192fbc9db80c5b225a4f2d (commit)
       via  1e585a79df197653b752427b4372895e12afc2d4 (commit)
       via  d657d1baa99af56f8a4c0ed4b3bc6464313b1773 (commit)
       via  4623a334d042b0f982ead3dcc7ea63015a39ff72 (commit)
       via  db03fafeec9d360a9837303befcc2dbcce57a06b (commit)
       via  8bb9923f542caae6eca47d42c35b1c00816e61f0 (commit)
       via  9e05f0770b92f9c85f09e3629f875011e8f1ac24 (commit)
       via  7ab7f93a1d7eecc873155026ea06d70d2d2b2846 (commit)
       via  00da83704ec4a1c9eca3eb7e90f6a8a762799217 (commit)
       via  c452801ce73a8467e1d5ee14f7f497e08254ef6d (commit)
       via  1048666fba8abf654c9abba84a849ba2d6885221 (commit)
       via  1392a442651b9528537ca722df76090bbf4de0d9 (commit)
       via  2d6ac5853644d805390df01367499922eca81368 (commit)
       via  02c74ee9f1c07e20097f6cfc2e7426be3a7ad06c (commit)
       via  62adc385b1ea87fa924dfd15a60706bdf6be18e2 (commit)
       via  a1d9c0b4de66eadfdd3f6a217af80f7eb4e22772 (commit)
       via  6528672f2db205b6127f05ad7c7b9da66661b498 (commit)
       via  802ab4104ca9bae8b21fe9618709d5a3d8cfd77f (commit)
       via  49a17dca1ee9cf7c0fd02b6baf83814a68c4e5e8 (commit)
       via  7cb806f71a3bcc52c3c2e5688a9b6b48e3401615 (commit)
       via  9a100f391e52106ca872dd5df8287273eea64b0c (commit)
       via  e103e18f8b8111cd07edc2bb0294aa58a426e371 (commit)
       via  f945bc6d3188d15c767706a2edbbda950a101c61 (commit)
       via  96b6c7b97e3fb9188bc6906153254dc36cedc2cb (commit)
       via  5d040bc94b40cab5420303f959695d89fe83e031 (commit)
       via  5965a434d0923738d49334eb5f3d74a259e7b431 (commit)
       via  42b10fee5167354a2927c2874cec46fc0d71a245 (commit)
       via  43dec22f22e9c47b4f908675ac880a05377993fa (commit)
       via  77df49ed5d2cc99ea75f3e72e9387869b35ac603 (commit)
       via  19094db4bd7ba13bd80e4baa9ecdf1e7d2ac547d (commit)
       via  4fff2505ffd0779126d8a2036d63f1fcc53bdb52 (commit)
       via  77dc1a9ba4ce9940d974edef8711d3eba85c0608 (commit)
       via  3113a7bbf56462cde4a6be4a15d5f8296e37ae8a (commit)
       via  80dbcc615f408bfdb23a269a54882adae0ab405b (commit)
       via  a5b340fa42de5c6cc087fd4f258f9f50254a7a8f (commit)
       via  95e6a1167b1de5d23053fda76678df297e43eedf (commit)
       via  72a570279574d717325a3d65a6b435ba4eb7a82c (commit)
       via  78588fb1608eaec95827da07c0bebee8e0fab3b6 (commit)
       via  f34764905524897c57fa871f04110903dd9c468f (commit)
       via  ac9859282e821854badf99addea58c14aad2bcd4 (commit)
       via  2846230c1296b78aa003e4b02a21bcdba10310c1 (commit)
       via  7e013c464ce04a7dc559a3f2798559c0716ccae8 (commit)
       via  7eea883c377f64862a4d83f1b33a83fdf3cfc392 (commit)
      from  e5b76a1b9239f560b3aad21d56a7b417f3c8b0b5 (commit)

Those revisions listed above that are new to this repository have
not appeared on any other notification email; so we list those
revisions in full, below.

- Log -----------------------------------------------------------------
commit bf76534044a1bbcc665f0400a53d1070cae8caf0
Merge: e5b76a1b9239f560b3aad21d56a7b417f3c8b0b5 
4743bf771fed8405b08194d8c7fb72bf8110eab3
Author: Johnathan Corgan <address@hidden>
Date:   Thu Oct 1 11:00:25 2009 -0700

    Merge branch 'new_eth' of http://gnuradio.org/git/matt into master
    
    * 'new_eth' of http://gnuradio.org/git/matt: (42 commits)
      Fix warnings, mostly from implicitly defined wires or unspecified widths
      fullchip sim now compiles again, after moving eth and models over to new 
simple_gemac
      remove unused opencores
      remove debugging code
      no idea where this came from, it shouldn't be here
      Copied wb_1master back from quad radio
      Remove old mac.  Good riddance.
      remove unused port
      More xilinx fifos, more clean up of our fifos
      might as well use a cascade fifo to help timing and give a little more 
capacity
      fix a typo which caused tx glitches
      Untested fixes for getting serdes onto the new fifo system.  Compiles, at 
least
      Implement Eth flow control using pause frames
      parameterized fifo sizes, some reformatting
      remove unused old style fifo
      allow control of whether or not to honor flow control, adds some debug 
lines
      debug the rx side
      no longer used, replaced by newfifo version
      remove special last_line adjustment from ethernet port
      Firmware now inserts mac source address value in each frame.
      ...

commit 4743bf771fed8405b08194d8c7fb72bf8110eab3
Author: Matt Ettus <address@hidden>
Date:   Thu Oct 1 01:02:25 2009 -0700

    Fix warnings, mostly from implicitly defined wires or unspecified widths

commit 21e931766545ff93dda5ef1b72dd03f3786967ab
Author: Matt Ettus <address@hidden>
Date:   Thu Oct 1 00:21:24 2009 -0700

    fullchip sim now compiles again, after moving eth and models over to new 
simple_gemac

commit 413d26237e93b8b019c719ed186e228a8eeb41b8
Author: Matt Ettus <address@hidden>
Date:   Thu Oct 1 00:06:11 2009 -0700

    remove unused opencores

commit 147de5cd3e57a07914673a31fb73a35ebf18b3a2
Author: Matt Ettus <address@hidden>
Date:   Wed Sep 30 19:55:05 2009 -0700

    remove debugging code

commit d37030e27d9e9c922b432c04252b1636f5160c3c
Merge: 4dfa2ad7deab0a89784906b377dbea271ba08118 
016b2fb7b8da8bf10e7ee9e6465adb8d317c0a43
Author: Matt Ettus <address@hidden>
Date:   Wed Sep 30 19:12:23 2009 -0700

    Merge branch 'new_wb_intercon' into new_eth
    
    Functionality should not change at all
    
    Conflicts:
        usrp2/fpga/top/u2_core/u2_core.v

commit 4dfa2ad7deab0a89784906b377dbea271ba08118
Merge: 1e3521c90f63e70e8ec4dc97574bc8b46366fc1e 
c88f64ad42a8473a1749275c0e579284b20eb283
Author: Matt Ettus <address@hidden>
Date:   Wed Sep 30 18:59:54 2009 -0700

    Merge branch 'master' into new_eth

commit 1e3521c90f63e70e8ec4dc97574bc8b46366fc1e
Author: Matt Ettus <address@hidden>
Date:   Wed Sep 30 18:37:47 2009 -0700

    no idea where this came from, it shouldn't be here

commit 016b2fb7b8da8bf10e7ee9e6465adb8d317c0a43
Author: Matt Ettus <address@hidden>
Date:   Wed Sep 30 17:35:14 2009 -0700

    Copied wb_1master back from quad radio
    
    more sane config options, should be exactly the same memory map

commit 5c46578ba936de57e80594540804c964aa408f73
Merge: 35ada01aa8ae838d6d75bf063725218fa7e18f5f 
16474a0adb44dc81a8338a9c4a9a6dcab6f6328f
Author: Matt Ettus <address@hidden>
Date:   Thu Sep 24 22:34:06 2009 -0700

    Merge commit 'origin' into new_eth
    
    Conflicts:
        .gitignore

commit 35ada01aa8ae838d6d75bf063725218fa7e18f5f
Merge: ab47612cf0b6f2226d192fbc9db80c5b225a4f2d 
8bb9923f542caae6eca47d42c35b1c00816e61f0
Author: Matt Ettus <address@hidden>
Date:   Sun Sep 20 17:30:27 2009 -0700

    Merge branch 'serdes_newfifo' into new_eth

commit ab47612cf0b6f2226d192fbc9db80c5b225a4f2d
Author: Matt Ettus <address@hidden>
Date:   Thu Sep 10 23:11:44 2009 -0700

    Remove old mac.  Good riddance.

commit 1e585a79df197653b752427b4372895e12afc2d4
Author: Matt Ettus <address@hidden>
Date:   Thu Sep 10 21:53:32 2009 -0700

    remove unused port

commit d657d1baa99af56f8a4c0ed4b3bc6464313b1773
Author: Matt Ettus <address@hidden>
Date:   Thu Sep 10 21:52:06 2009 -0700

    More xilinx fifos, more clean up of our fifos

commit 4623a334d042b0f982ead3dcc7ea63015a39ff72
Author: Matt Ettus <address@hidden>
Date:   Thu Sep 10 11:40:18 2009 -0700

    might as well use a cascade fifo to help timing and give a little more 
capacity

commit db03fafeec9d360a9837303befcc2dbcce57a06b
Author: Matt Ettus <address@hidden>
Date:   Sat Sep 5 13:38:13 2009 -0700

    fix a typo which caused tx glitches

commit 8bb9923f542caae6eca47d42c35b1c00816e61f0
Author: Matt Ettus <address@hidden>
Date:   Fri Sep 4 22:33:30 2009 -0700

    Untested fixes for getting serdes onto the new fifo system.  Compiles, at 
least

commit 9e05f0770b92f9c85f09e3629f875011e8f1ac24
Author: Matt Ettus <address@hidden>
Date:   Fri Sep 4 22:23:27 2009 -0700

    Implement Eth flow control using pause frames
    
    Not fully tested, but it seems to work without frame errors, sequence
    number errors or ethernet overruns.  Still of course will get tx underruns
    on a slow machine, and the transmitted signal has some issues though.

commit 7ab7f93a1d7eecc873155026ea06d70d2d2b2846
Author: Matt Ettus <address@hidden>
Date:   Fri Sep 4 22:20:19 2009 -0700

    parameterized fifo sizes, some reformatting

commit 00da83704ec4a1c9eca3eb7e90f6a8a762799217
Author: Matt Ettus <address@hidden>
Date:   Fri Sep 4 22:14:21 2009 -0700

    remove unused old style fifo

commit c452801ce73a8467e1d5ee14f7f497e08254ef6d
Merge: 1048666fba8abf654c9abba84a849ba2d6885221 
02c74ee9f1c07e20097f6cfc2e7426be3a7ad06c
Author: Matt Ettus <address@hidden>
Date:   Fri Sep 4 16:47:43 2009 -0700

    Merge branch 'new_eth' of http://gnuradio.org/git/eb into new_eth

commit 1048666fba8abf654c9abba84a849ba2d6885221
Author: Matt Ettus <address@hidden>
Date:   Fri Sep 4 16:43:11 2009 -0700

    allow control of whether or not to honor flow control, adds some debug lines

commit 1392a442651b9528537ca722df76090bbf4de0d9
Author: Matt Ettus <address@hidden>
Date:   Fri Sep 4 16:37:29 2009 -0700

    debug the rx side

commit 2d6ac5853644d805390df01367499922eca81368
Author: Matt Ettus <address@hidden>
Date:   Fri Sep 4 16:33:00 2009 -0700

    no longer used, replaced by newfifo version

commit 02c74ee9f1c07e20097f6cfc2e7426be3a7ad06c
Merge: a1d9c0b4de66eadfdd3f6a217af80f7eb4e22772 
62adc385b1ea87fa924dfd15a60706bdf6be18e2
Author: Eric Blossom <address@hidden>
Date:   Fri Sep 4 15:58:33 2009 -0700

    Merge branch 'new_eth' of http://gnuradio.org/git/matt into new_eth
    
    * 'new_eth' of http://gnuradio.org/git/matt:

commit 62adc385b1ea87fa924dfd15a60706bdf6be18e2
Merge: 802ab4104ca9bae8b21fe9618709d5a3d8cfd77f 
6528672f2db205b6127f05ad7c7b9da66661b498
Author: Matt Ettus <address@hidden>
Date:   Fri Sep 4 15:53:46 2009 -0700

    Merge branch 'new_eth' of http://gnuradio.org/git/eb into new_eth

commit a1d9c0b4de66eadfdd3f6a217af80f7eb4e22772
Merge: 6528672f2db205b6127f05ad7c7b9da66661b498 
7cb806f71a3bcc52c3c2e5688a9b6b48e3401615
Author: Eric Blossom <address@hidden>
Date:   Fri Sep 4 15:51:52 2009 -0700

    Merge branch 'new_eth' of http://gnuradio.org/git/matt into new_eth
    
    * 'new_eth' of http://gnuradio.org/git/matt:
      properly set the address filter
      stop sending short ethernet command packets.
      Fix problem with commands timing out (specifically stop_rx_streaming)
      Fix race condition that caused commands such as stop_rx_streaming to fail.
      Fixing a line in the clock recovery algorithm. This works with a bit 
larger error than there proably should be.
      Better fix for broken AC_PROG_F77 macro
      Fix Python header check failure due to invalid cached state
      waterfall and fft use a common autoscale function
      Fix so that the waterfall texture is initialized with a buffer of the 
same size.
      Modifications to usrp2 source and sink so that set center freq is called 
afer set lo offset.
      Modifications to the usrp blocks and wrapper so that the lo offset is set 
with the lo frequency.
      Removed subversion related configuration info.
      Expand frequency ranges to match hardware capability.
      Modified log power fft block so ref scale is peak to peak.
      Adding clock sync algorithm using PFB. This works, but needs a bit more 
work.

commit 6528672f2db205b6127f05ad7c7b9da66661b498
Author: Eric Blossom <address@hidden>
Date:   Fri Sep 4 15:47:32 2009 -0700

    remove special last_line adjustment from ethernet port

commit 802ab4104ca9bae8b21fe9618709d5a3d8cfd77f
Merge: 7cb806f71a3bcc52c3c2e5688a9b6b48e3401615 
49a17dca1ee9cf7c0fd02b6baf83814a68c4e5e8
Author: Matt Ettus <address@hidden>
Date:   Fri Sep 4 15:44:47 2009 -0700

    Merge branch 'new_eth' of http://gnuradio.org/git/eb into new_eth

commit 49a17dca1ee9cf7c0fd02b6baf83814a68c4e5e8
Author: Eric Blossom <address@hidden>
Date:   Fri Sep 4 15:35:01 2009 -0700

    Firmware now inserts mac source address value in each frame.
    
    The old mac used to do this automatically.

commit 7cb806f71a3bcc52c3c2e5688a9b6b48e3401615
Author: Matt Ettus <address@hidden>
Date:   Fri Sep 4 15:31:26 2009 -0700

    properly set the address filter

commit 9a100f391e52106ca872dd5df8287273eea64b0c
Merge: f945bc6d3188d15c767706a2edbbda950a101c61 
5965a434d0923738d49334eb5f3d74a259e7b431
Author: Eric Blossom <address@hidden>
Date:   Fri Sep 4 15:07:06 2009 -0700

    Merge branch 'new_eth' of http://gnuradio.org/git/matt into new_eth
    
    * 'new_eth' of http://gnuradio.org/git/matt:
      seems to build a decent fpga, but still some issues with a full 
connection.

commit e103e18f8b8111cd07edc2bb0294aa58a426e371
Merge: 5965a434d0923738d49334eb5f3d74a259e7b431 
20006003431d7260b04964eb684b1746ffb0a85f
Author: Matt Ettus <address@hidden>
Date:   Fri Sep 4 10:29:00 2009 -0700

    Merge branch 'master' into new_eth

commit f945bc6d3188d15c767706a2edbbda950a101c61
Author: Eric Blossom <address@hidden>
Date:   Fri Sep 4 03:48:15 2009 -0700

    stop sending short ethernet command packets.

commit 96b6c7b97e3fb9188bc6906153254dc36cedc2cb
Author: Eric Blossom <address@hidden>
Date:   Fri Sep 4 03:32:32 2009 -0700

    Fix problem with commands timing out (specifically stop_rx_streaming)
    
    After fixing the race, this change uses Tom's idea to stop enqueuing
    data when trying to stop, and adds a new flush_rx_samples method
    to drop any samples that may have already been accumulated.
    
    I ran Tom's test case 500 times with 0 failures ;-)

commit 5d040bc94b40cab5420303f959695d89fe83e031
Author: Eric Blossom <address@hidden>
Date:   Fri Sep 4 01:51:29 2009 -0700

    Fix race condition that caused commands such as stop_rx_streaming to fail.
    
    This fixes the bulk of the problem.  Next step is to drop data packets
    while waiting for the reply.

commit 5965a434d0923738d49334eb5f3d74a259e7b431
Author: Matt Ettus <address@hidden>
Date:   Thu Sep 3 21:39:48 2009 -0700

    seems to build a decent fpga, but still some issues with a full connection.

commit 42b10fee5167354a2927c2874cec46fc0d71a245
Author: Eric Blossom <address@hidden>
Date:   Thu Sep 3 16:12:40 2009 -0700

    removed hard-coded link_is_up = true;

commit 43dec22f22e9c47b4f908675ac880a05377993fa
Author: Matt Ettus <address@hidden>
Date:   Thu Sep 3 14:13:44 2009 -0700

    MAC transmit seems to work now.  The root cause of the problem was 
accidentally using the rx_clk in one stage of the fifos on the tx side.

commit 77df49ed5d2cc99ea75f3e72e9387869b35ac603
Author: Matt Ettus <address@hidden>
Date:   Thu Sep 3 10:41:26 2009 -0700

    set device to xc3s2000.  Shouldn't make any differences.

commit 19094db4bd7ba13bd80e4baa9ecdf1e7d2ac547d
Author: Matt Ettus <address@hidden>
Date:   Thu Sep 3 10:39:37 2009 -0700

    misc ignores

commit 4fff2505ffd0779126d8a2036d63f1fcc53bdb52
Author: Matt Ettus <address@hidden>
Date:   Thu Sep 3 10:37:35 2009 -0700

    made a new block ram based fifo, 64 (65) elements long, all fifos now have 
"enhanced level logic" for accurate fullness.  Maybe this will help...

commit 77dc1a9ba4ce9940d974edef8711d3eba85c0608
Author: Matt Ettus <address@hidden>
Date:   Wed Sep 2 21:27:18 2009 -0700

    bring the testbench files up to date

commit 3113a7bbf56462cde4a6be4a15d5f8296e37ae8a
Author: Matt Ettus <address@hidden>
Date:   Wed Sep 2 17:56:26 2009 -0700

    major cleanup of 2 clock fifos

commit 80dbcc615f408bfdb23a269a54882adae0ab405b
Author: Matt Ettus <address@hidden>
Date:   Wed Sep 2 17:28:57 2009 -0700

    cleaning up the new fifos

commit a5b340fa42de5c6cc087fd4f258f9f50254a7a8f
Author: Matt Ettus <address@hidden>
Date:   Wed Sep 2 17:23:12 2009 -0700

    cascadefifo.v wasn't used, only the double cascade version.  fifo_2clock.v 
and fifo_2clock.v are empty

commit 95e6a1167b1de5d23053fda76678df297e43eedf
Author: Matt Ettus <address@hidden>
Date:   Wed Sep 2 17:09:16 2009 -0700

    never used, not needed

commit 72a570279574d717325a3d65a6b435ba4eb7a82c
Author: Matt Ettus <address@hidden>
Date:   Wed Sep 2 17:05:45 2009 -0700

    ignore .o files

commit 78588fb1608eaec95827da07c0bebee8e0fab3b6
Author: Matt Ettus <address@hidden>
Date:   Wed Sep 2 17:04:53 2009 -0700

    debug pins, cleaned ignores

commit f34764905524897c57fa871f04110903dd9c468f
Author: Matt Ettus <address@hidden>
Date:   Wed Sep 2 17:01:28 2009 -0700

    sort out active-low lines on locallink fifos, added debug pins

commit ac9859282e821854badf99addea58c14aad2bcd4
Author: Matt Ettus <address@hidden>
Date:   Wed Sep 2 16:46:06 2009 -0700

    Removed these files completely, they were for the old style of fifos

commit 2846230c1296b78aa003e4b02a21bcdba10310c1
Author: Matt Ettus <address@hidden>
Date:   Tue Sep 1 23:19:15 2009 -0700

    fixed addressing of registers, and added write enables to those that were 
missing.  MDIO seems ok.

commit 7e013c464ce04a7dc559a3f2798559c0716ccae8
Author: Eric Blossom <address@hidden>
Date:   Tue Sep 1 18:43:07 2009 -0700

    tell s/w link is up.  additional debugging output

commit 7eea883c377f64862a4d83f1b33a83fdf3cfc392
Author: Johnathan Corgan <address@hidden>
Date:   Mon Aug 31 12:08:30 2009 -0700

    Merged SVN matt/new_eth r10782:11633 into new_eth
    
    * svn diff http://gnuradio.org/svn/branches/developers/matt/new_eth
      -r10782:11633
    
    * Patch applied with no conflicts or fuzz.

-----------------------------------------------------------------------

Summary of changes:
 usrp2/firmware/apps/app_common_v2.c                |    3 +-
 usrp2/firmware/apps/app_passthru_v2.c              |    1 +
 usrp2/firmware/apps/factory_test.c                 |    1 +
 usrp2/firmware/apps/gen_eth_packets.c              |   14 +-
 usrp2/firmware/apps/gen_pause_frames.c             |    4 +-
 usrp2/firmware/apps/mimo_app_common_v2.c           |    1 +
 usrp2/firmware/apps/mimo_tx.c                      |    1 +
 usrp2/firmware/apps/mimo_tx_slave.c                |    1 +
 usrp2/firmware/apps/rcv_eth_packets.c              |    2 +-
 usrp2/firmware/apps/serdes_txrx.c                  |    1 +
 usrp2/firmware/apps/tx_standalone.c                |    1 +
 usrp2/firmware/apps/txrx.c                         |    4 +-
 usrp2/firmware/lib/.gitignore                      |    1 +
 usrp2/firmware/lib/dbsm.c                          |    3 +-
 usrp2/firmware/lib/eth_mac.c                       |   55 +-
 usrp2/firmware/lib/eth_mac_regs.h                  |   82 +-
 usrp2/firmware/lib/ethernet.c                      |   24 +-
 usrp2/fpga/.gitignore                              |    2 +
 usrp2/fpga/control_lib/buffer_int.v                |  251 -
 usrp2/fpga/control_lib/buffer_int_tb.v             |  447 -
 usrp2/fpga/control_lib/buffer_pool.v               |  323 -
 usrp2/fpga/control_lib/buffer_pool_tb.v            |   50 -
 usrp2/fpga/control_lib/cascadefifo.v               |   50 -
 usrp2/fpga/control_lib/cascadefifo2.v              |   56 -
 usrp2/fpga/control_lib/fifo_2clock.v               |   66 -
 usrp2/fpga/control_lib/fifo_2clock_casc.v          |   31 -
 usrp2/fpga/control_lib/fifo_reader.v               |   28 -
 usrp2/fpga/control_lib/fifo_tb.v                   |    8 +-
 usrp2/fpga/control_lib/fifo_writer.v               |   31 -
 usrp2/fpga/control_lib/giantfifo.v                 |  209 -
 usrp2/fpga/control_lib/giantfifo_tb.v              |  173 -
 usrp2/fpga/control_lib/newfifo/.gitignore          |    1 +
 usrp2/fpga/control_lib/newfifo/fifo18_to_ll8.v     |   58 -
 usrp2/fpga/control_lib/newfifo/fifo36_to_ll8.v     |    2 +-
 usrp2/fpga/control_lib/newfifo/fifo_2clock.v       |   61 +-
 usrp2/fpga/control_lib/newfifo/fifo_2clock_casc.v  |   31 -
 .../fpga/control_lib/newfifo/fifo_2clock_cascade.v |   35 +
 usrp2/fpga/control_lib/newfifo/fifo_new_tb.v       |  158 -
 usrp2/fpga/control_lib/newfifo/fifo_tb.v           |  257 +-
 .../newfifo}/ll8_shortfifo.v                       |    0 
 usrp2/fpga/control_lib/wb_1master.v                |  318 +-
 usrp2/fpga/coregen/coregen.cgp                     |    4 +-
 usrp2/fpga/coregen/fifo_xlnx_16x19_2clk.ngc        |    3 +
 usrp2/fpga/coregen/fifo_xlnx_16x19_2clk.v          |  169 +
 usrp2/fpga/coregen/fifo_xlnx_16x19_2clk.veo        |   53 +
 usrp2/fpga/coregen/fifo_xlnx_16x19_2clk.xco        |   82 +
 ..._xlnx_16x19_2clk_fifo_generator_v4_3_xst_1.lso} |    0 
 ...x19_2clk_fifo_generator_v4_3_xst_1.ngc_xst.xrpt |  103 +
 usrp2/fpga/coregen/fifo_xlnx_16x19_2clk_flist.txt  |    8 +
 usrp2/fpga/coregen/fifo_xlnx_16x19_2clk_readme.txt |   39 +
 usrp2/fpga/coregen/fifo_xlnx_16x19_2clk_xmdf.tcl   |   68 +
 usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.asy        |    4 +-
 usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.ngc        |    2 +-
 usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.sym        |   10 +-
 usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.v          |   14 +-
 usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.veo        |    4 +-
 usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.vhd        |   18 +-
 usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.vho        |    4 +-
 usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.xco        |   16 +-
 ...x36_2clk_fifo_generator_v4_3_xst_1.ngc_xst.xrpt |   57 +-
 usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk_readme.txt |    2 +-
 usrp2/fpga/coregen/fifo_xlnx_512x36_2clk.ngc       |    2 +-
 usrp2/fpga/coregen/fifo_xlnx_512x36_2clk.v         |   18 +-
 usrp2/fpga/coregen/fifo_xlnx_512x36_2clk.veo       |    4 +-
 usrp2/fpga/coregen/fifo_xlnx_512x36_2clk.xco       |   24 +-
 ...x36_2clk_fifo_generator_v4_3_xst_1.ngc_xst.xrpt |   60 +-
 usrp2/fpga/coregen/fifo_xlnx_512x36_2clk_flist.txt |    4 -
 .../fpga/coregen/fifo_xlnx_512x36_2clk_readme.txt  |   18 +-
 usrp2/fpga/coregen/fifo_xlnx_512x36_2clk_xmdf.tcl  |   16 -
 usrp2/fpga/coregen/fifo_xlnx_64x36_2clk.ngc        |    3 +
 usrp2/fpga/coregen/fifo_xlnx_64x36_2clk.v          |  169 +
 usrp2/fpga/coregen/fifo_xlnx_64x36_2clk.veo        |   53 +
 usrp2/fpga/coregen/fifo_xlnx_64x36_2clk.xco        |   82 +
 ..._xlnx_64x36_2clk_fifo_generator_v4_3_xst_1.lso} |    0 
 ...x36_2clk_fifo_generator_v4_3_xst_1.ngc_xst.xrpt |  104 +
 usrp2/fpga/coregen/fifo_xlnx_64x36_2clk_flist.txt  |    8 +
 usrp2/fpga/coregen/fifo_xlnx_64x36_2clk_readme.txt |   39 +
 usrp2/fpga/coregen/fifo_xlnx_64x36_2clk_xmdf.tcl   |   68 +
 usrp2/fpga/eth/bench/verilog/.gitignore            |    4 -
 usrp2/fpga/eth/bench/verilog/100m.scr              |   38 -
 usrp2/fpga/eth/bench/verilog/Phy_sim.v             |  113 -
 usrp2/fpga/eth/bench/verilog/User_int_sim.v        |  230 -
 usrp2/fpga/eth/bench/verilog/error.scr             |  146 -
 usrp2/fpga/eth/bench/verilog/files.lst             |   42 -
 usrp2/fpga/eth/bench/verilog/host_sim.v            |   82 -
 usrp2/fpga/eth/bench/verilog/icomp.bat             |    1 -
 usrp2/fpga/eth/bench/verilog/isim.bat              |    1 -
 usrp2/fpga/eth/bench/verilog/jumbo_err.scr         |   40 -
 usrp2/fpga/eth/bench/verilog/jumbos.scr            |   27 -
 usrp2/fpga/eth/bench/verilog/mdio.scr              |   52 -
 usrp2/fpga/eth/bench/verilog/misc.scr              |   92 -
 usrp2/fpga/eth/bench/verilog/pause.scr             |   45 -
 usrp2/fpga/eth/bench/verilog/tb_top.v              | 1057 -
 usrp2/fpga/eth/bench/verilog/test.scr              |   23 -
 usrp2/fpga/eth/bench/verilog/txmac.scr             |   93 -
 usrp2/fpga/eth/demo/verilog/RAMB16_S1_S2.v         | 1535 -
 usrp2/fpga/eth/demo/verilog/demo.ucf               |   52 -
 usrp2/fpga/eth/demo/verilog/demo.v                 |  378 -
 .../demo/verilog/demo_packet_descriptor_memory.v   |  384 -
 .../fpga/eth/demo/verilog/demo_packet_generator.v  |  274 -
 usrp2/fpga/eth/demo/verilog/demo_uart.v            |  235 -
 usrp2/fpga/eth/demo/verilog/demo_wishbone_master.v |  376 -
 usrp2/fpga/eth/demo/verilog/tb_demo.v              |  348 -
 usrp2/fpga/eth/header_ram.v                        |   24 -
 usrp2/fpga/eth/mac_rxfifo_int.v                    |   91 -
 usrp2/fpga/eth/mac_txfifo_int.v                    |   77 -
 usrp2/fpga/eth/rtl/verilog/Clk_ctrl.v              |  133 -
 usrp2/fpga/eth/rtl/verilog/MAC_rx.v                |  242 -
 .../fpga/eth/rtl/verilog/MAC_rx/Broadcast_filter.v |  107 -
 usrp2/fpga/eth/rtl/verilog/MAC_rx/CRC_chk.v        |  128 -
 usrp2/fpga/eth/rtl/verilog/MAC_rx/MAC_rx_FF.v      |  167 -
 usrp2/fpga/eth/rtl/verilog/MAC_rx/MAC_rx_add_chk.v |  158 -
 usrp2/fpga/eth/rtl/verilog/MAC_rx/MAC_rx_ctrl.v    |  664 -
 usrp2/fpga/eth/rtl/verilog/MAC_top.v               |  518 -
 usrp2/fpga/eth/rtl/verilog/MAC_tx.v                |  250 -
 usrp2/fpga/eth/rtl/verilog/MAC_tx/CRC_gen.v        |  169 -
 usrp2/fpga/eth/rtl/verilog/MAC_tx/MAC_tx_FF.v      |  722 -
 .../fpga/eth/rtl/verilog/MAC_tx/MAC_tx_addr_add.v  |  128 -
 usrp2/fpga/eth/rtl/verilog/MAC_tx/MAC_tx_ctrl.v    |  656 -
 usrp2/fpga/eth/rtl/verilog/MAC_tx/Random_gen.v     |  109 -
 usrp2/fpga/eth/rtl/verilog/Phy_int.v               |  205 -
 usrp2/fpga/eth/rtl/verilog/RMON.v                  |  163 -
 usrp2/fpga/eth/rtl/verilog/RMON/RMON_addr_gen.v    |  295 -
 usrp2/fpga/eth/rtl/verilog/RMON/RMON_ctrl.v        |  283 -
 usrp2/fpga/eth/rtl/verilog/Reg_int.v               |  275 -
 usrp2/fpga/eth/rtl/verilog/TECH/eth_clk_div2.v     |   71 -
 usrp2/fpga/eth/rtl/verilog/TECH/eth_clk_switch.v   |   81 -
 usrp2/fpga/eth/rtl/verilog/TECH/xilinx/BUFGMUX.v   |   64 -
 .../eth/rtl/verilog/TECH/xilinx/RAMB16_S36_S36.v   | 2204 --
 usrp2/fpga/eth/rtl/verilog/elastic_buffer.v        |   93 -
 usrp2/fpga/eth/rtl/verilog/elastic_buffer_tb.v     |   66 -
 usrp2/fpga/eth/rtl/verilog/eth_miim.v              |  470 -
 usrp2/fpga/eth/rtl/verilog/flow_ctrl_rx.v          |   86 -
 usrp2/fpga/eth/rtl/verilog/flow_ctrl_tx.v          |   36 -
 usrp2/fpga/eth/rtl/verilog/header.vh               |    7 -
 usrp2/fpga/eth/rtl/verilog/miim/eth_clockgen.v     |  141 -
 .../fpga/eth/rtl/verilog/miim/eth_outputcontrol.v  |  158 -
 usrp2/fpga/eth/rtl/verilog/miim/eth_shiftreg.v     |  159 -
 usrp2/fpga/eth/rx_prot_engine.v                    |  156 -
 usrp2/fpga/eth/tx_prot_engine.v                    |  144 -
 usrp2/fpga/models/adc_model.v                      |    5 +-
 .../{eth/bench/verilog => models}/miim_model.v     |    0 
 usrp2/fpga/models/phy_sim.v                        |  113 +
 .../fpga/{eth/bench/verilog => models}/xlnx_glbl.v |    0 
 usrp2/fpga/opencores/ethernet_tri_mode/.gitignore  |    2 -
 usrp2/fpga/opencores/ethernet_tri_mode/CVS/Entries |    6 -
 .../opencores/ethernet_tri_mode/CVS/Repository     |    1 -
 usrp2/fpga/opencores/ethernet_tri_mode/CVS/Root    |    1 -
 .../opencores/ethernet_tri_mode/bench/CVS/Entries  |    1 -
 .../ethernet_tri_mode/bench/CVS/Repository         |    1 -
 .../opencores/ethernet_tri_mode/bench/CVS/Root     |    1 -
 .../ethernet_tri_mode/bench/verilog/CVS/Entries    |    7 -
 .../ethernet_tri_mode/bench/verilog/CVS/Repository |    1 -
 .../ethernet_tri_mode/bench/verilog/CVS/Root       |    1 -
 .../ethernet_tri_mode/bench/verilog/Phy_sim.v      |  102 -
 .../ethernet_tri_mode/bench/verilog/User_int_sim.v |  148 -
 .../ethernet_tri_mode/bench/verilog/altera_mf.v    |34538 --------------------
 .../ethernet_tri_mode/bench/verilog/host_sim.v     |   77 -
 .../ethernet_tri_mode/bench/verilog/reg_int_sim.v  |  135 -
 .../ethernet_tri_mode/bench/verilog/tb_top.v       |  230 -
 usrp2/fpga/opencores/ethernet_tri_mode/cmdfile     |    7 -
 .../opencores/ethernet_tri_mode/doc/CVS/Entries    |    3 -
 .../opencores/ethernet_tri_mode/doc/CVS/Repository |    1 -
 .../fpga/opencores/ethernet_tri_mode/doc/CVS/Root  |    1 -
 .../doc/Tri-mode_Ethernet_MAC_Specifications.pdf   |  Bin 313150 -> 0 bytes
 .../Tri-mode_Ethernet_MAC_Verification_plan.pdf    |  Bin 144899 -> 0 bytes
 .../opencores/ethernet_tri_mode/rtl/CVS/Entries    |    1 -
 .../opencores/ethernet_tri_mode/rtl/CVS/Repository |    1 -
 .../fpga/opencores/ethernet_tri_mode/rtl/CVS/Root  |    1 -
 .../ethernet_tri_mode/rtl/verilog/CVS/Entries      |   14 -
 .../ethernet_tri_mode/rtl/verilog/CVS/Repository   |    1 -
 .../ethernet_tri_mode/rtl/verilog/CVS/Root         |    1 -
 .../ethernet_tri_mode/rtl/verilog/Clk_ctrl.v       |  127 -
 .../ethernet_tri_mode/rtl/verilog/MAC_rx.v         |  230 -
 .../rtl/verilog/MAC_rx/Broadcast_filter.v          |  107 -
 .../ethernet_tri_mode/rtl/verilog/MAC_rx/CRC_chk.v |  129 -
 .../rtl/verilog/MAC_rx/CVS/Entries                 |    6 -
 .../rtl/verilog/MAC_rx/CVS/Repository              |    1 -
 .../ethernet_tri_mode/rtl/verilog/MAC_rx/CVS/Root  |    1 -
 .../rtl/verilog/MAC_rx/MAC_rx_FF.v                 |  659 -
 .../rtl/verilog/MAC_rx/MAC_rx_add_chk.v            |  156 -
 .../rtl/verilog/MAC_rx/MAC_rx_ctrl.v               |  536 -
 .../ethernet_tri_mode/rtl/verilog/MAC_top.v        |  430 -
 .../ethernet_tri_mode/rtl/verilog/MAC_tx.v         |  266 -
 .../ethernet_tri_mode/rtl/verilog/MAC_tx/CRC_gen.v |  168 -
 .../rtl/verilog/MAC_tx/CVS/Entries                 |    7 -
 .../rtl/verilog/MAC_tx/CVS/Repository              |    1 -
 .../ethernet_tri_mode/rtl/verilog/MAC_tx/CVS/Root  |    1 -
 .../rtl/verilog/MAC_tx/MAC_tx_FF.v                 |  745 -
 .../rtl/verilog/MAC_tx/MAC_tx_addr_add.v           |  128 -
 .../rtl/verilog/MAC_tx/MAC_tx_ctrl.v               |  646 -
 .../rtl/verilog/MAC_tx/flow_ctrl.v                 |  203 -
 .../rtl/verilog/MAC_tx/random_gen.v                |  123 -
 .../ethernet_tri_mode/rtl/verilog/Phy_int.v        |  227 -
 .../opencores/ethernet_tri_mode/rtl/verilog/RMON.v |  180 -
 .../ethernet_tri_mode/rtl/verilog/RMON/CVS/Entries |    4 -
 .../rtl/verilog/RMON/CVS/Repository                |    1 -
 .../ethernet_tri_mode/rtl/verilog/RMON/CVS/Root    |    1 -
 .../rtl/verilog/RMON/RMON_addr_gen.v               |  295 -
 .../ethernet_tri_mode/rtl/verilog/RMON/RMON_ctrl.v |  290 -
 .../rtl/verilog/RMON/RMON_dpram.v                  |   46 -
 .../rtl/verilog/TECH/CLK_SWITCH.v                  |   68 -
 .../ethernet_tri_mode/rtl/verilog/TECH/CVS/Entries |    5 -
 .../rtl/verilog/TECH/CVS/Repository                |    1 -
 .../ethernet_tri_mode/rtl/verilog/TECH/CVS/Root    |    1 -
 .../rtl/verilog/TECH/altera/CLK_DIV2.v             |   74 -
 .../rtl/verilog/TECH/altera/CLK_SWITCH.v           |   71 -
 .../rtl/verilog/TECH/altera/CVS/Entries            |    4 -
 .../rtl/verilog/TECH/altera/CVS/Repository         |    1 -
 .../rtl/verilog/TECH/altera/CVS/Root               |    1 -
 .../rtl/verilog/TECH/altera/duram.v                |   87 -
 .../ethernet_tri_mode/rtl/verilog/TECH/clkdiv2.v   |   71 -
 .../ethernet_tri_mode/rtl/verilog/TECH/duram.v     |  103 -
 .../rtl/verilog/TECH/xilinx/CLK_DIV2.v             |   74 -
 .../rtl/verilog/TECH/xilinx/CLK_SWITCH.v           |   77 -
 .../rtl/verilog/TECH/xilinx/CVS/Entries            |    4 -
 .../rtl/verilog/TECH/xilinx/CVS/Repository         |    1 -
 .../rtl/verilog/TECH/xilinx/CVS/Root               |    1 -
 .../rtl/verilog/TECH/xilinx/duram.v                |   60 -
 .../ethernet_tri_mode/rtl/verilog/cmdfile          |    7 -
 .../ethernet_tri_mode/rtl/verilog/eth_miim.v       |  475 -
 .../ethernet_tri_mode/rtl/verilog/eth_wrapper.v    |  103 -
 .../ethernet_tri_mode/rtl/verilog/header.v         |    5 -
 .../ethernet_tri_mode/rtl/verilog/mac_tb.v         |   55 -
 .../ethernet_tri_mode/rtl/verilog/miim/CVS/Entries |    5 -
 .../rtl/verilog/miim/CVS/Repository                |    1 -
 .../ethernet_tri_mode/rtl/verilog/miim/CVS/Root    |    1 -
 .../rtl/verilog/miim/eth_clockgen.v                |  142 -
 .../rtl/verilog/miim/eth_outputcontrol.v           |  162 -
 .../rtl/verilog/miim/eth_shiftreg.v                |  164 -
 .../ethernet_tri_mode/rtl/verilog/miim/timescale.v |   62 -
 .../ethernet_tri_mode/rtl/verilog/reg_int.v        |  179 -
 .../opencores/ethernet_tri_mode/sim/CVS/Entries    |    1 -
 .../opencores/ethernet_tri_mode/sim/CVS/Repository |    1 -
 .../fpga/opencores/ethernet_tri_mode/sim/CVS/Root  |    1 -
 .../ethernet_tri_mode/sim/rtl_sim/CVS/Entries      |    1 -
 .../ethernet_tri_mode/sim/rtl_sim/CVS/Repository   |    1 -
 .../ethernet_tri_mode/sim/rtl_sim/CVS/Root         |    1 -
 .../sim/rtl_sim/ncsim_sim/CVS/Entries              |    6 -
 .../sim/rtl_sim/ncsim_sim/CVS/Repository           |    1 -
 .../sim/rtl_sim/ncsim_sim/CVS/Root                 |    1 -
 .../sim/rtl_sim/ncsim_sim/bin/CVS/Entries          |   12 -
 .../sim/rtl_sim/ncsim_sim/bin/CVS/Repository       |    1 -
 .../sim/rtl_sim/ncsim_sim/bin/CVS/Root             |    1 -
 .../sim/rtl_sim/ncsim_sim/bin/cds.lib              |    2 -
 .../sim/rtl_sim/ncsim_sim/bin/com.nc               |   36 -
 .../sim/rtl_sim/ncsim_sim/bin/config.ini           |    3 -
 .../sim/rtl_sim/ncsim_sim/bin/hdl.var              |    1 -
 .../sim/rtl_sim/ncsim_sim/bin/ip_32W_check.dll     |  Bin 40960 -> 0 bytes
 .../sim/rtl_sim/ncsim_sim/bin/ip_32W_check_vpi.dll |  Bin 32768 -> 0 bytes
 .../sim/rtl_sim/ncsim_sim/bin/ip_32W_gen.dll       |  Bin 45056 -> 0 bytes
 .../sim/rtl_sim/ncsim_sim/bin/ip_32W_gen_vpi.dll   |  Bin 45056 -> 0 bytes
 .../sim/rtl_sim/ncsim_sim/bin/sim.nc               |   37 -
 .../sim/rtl_sim/ncsim_sim/bin/sim_only.nc          |   13 -
 .../sim/rtl_sim/ncsim_sim/bin/vlog.list            |   41 -
 .../sim/rtl_sim/ncsim_sim/data/1000Mbps_duplex.vec |    1 -
 .../sim/rtl_sim/ncsim_sim/data/100Mbps_duplex.vec  |    1 -
 .../sim/rtl_sim/ncsim_sim/data/10Mbps_duplex.vec   |    1 -
 .../sim/rtl_sim/ncsim_sim/data/46-50.ini           |    1 -
 .../sim/rtl_sim/ncsim_sim/data/CVS/Entries         |   11 -
 .../sim/rtl_sim/ncsim_sim/data/CVS/Repository      |    1 -
 .../sim/rtl_sim/ncsim_sim/data/CVS/Root            |    1 -
 .../sim/rtl_sim/ncsim_sim/data/batch.dat           |    3 -
 .../sim/rtl_sim/ncsim_sim/data/config.ini          |    1 -
 .../sim/rtl_sim/ncsim_sim/data/flow_ctrl.vec       |    4 -
 .../rtl_sim/ncsim_sim/data/source_mac_replace.vec  |   24 -
 .../rtl_sim/ncsim_sim/data/target_mac_check.vec    |   25 -
 .../sim/rtl_sim/ncsim_sim/log/CVS/Entries          |    2 -
 .../sim/rtl_sim/ncsim_sim/log/CVS/Repository       |    1 -
 .../sim/rtl_sim/ncsim_sim/log/CVS/Root             |    1 -
 .../sim/rtl_sim/ncsim_sim/log/ncsim.log            |  245 -
 .../sim/rtl_sim/ncsim_sim/out/CVS/Entries          |    1 -
 .../sim/rtl_sim/ncsim_sim/out/CVS/Repository       |    1 -
 .../sim/rtl_sim/ncsim_sim/out/CVS/Root             |    1 -
 .../sim/rtl_sim/ncsim_sim/run/CVS/Entries          |    1 -
 .../sim/rtl_sim/ncsim_sim/run/CVS/Repository       |    1 -
 .../sim/rtl_sim/ncsim_sim/run/CVS/Root             |    1 -
 .../sim/rtl_sim/ncsim_sim/script/CVS/Entries       |    9 -
 .../sim/rtl_sim/ncsim_sim/script/CVS/Repository    |    1 -
 .../sim/rtl_sim/ncsim_sim/script/CVS/Root          |    1 -
 .../sim/rtl_sim/ncsim_sim/script/batch_mode.tcl    |  148 -
 .../sim/rtl_sim/ncsim_sim/script/filesel.tcl       |  325 -
 .../sim/rtl_sim/ncsim_sim/script/run.tcl           |   26 -
 .../sim/rtl_sim/ncsim_sim/script/run_proc.tcl      |   27 -
 .../sim/rtl_sim/ncsim_sim/script/set_reg_data.tcl  |  192 -
 .../sim/rtl_sim/ncsim_sim/script/set_stimulus.tcl  |  101 -
 .../sim/rtl_sim/ncsim_sim/script/start_verify.tcl  |  127 -
 .../sim/rtl_sim/ncsim_sim/script/user_lib.tcl      |   17 -
 usrp2/fpga/opencores/ethernet_tri_mode/start.tcl   |  180 -
 .../opencores/ethernet_tri_mode/syn/CVS/Entries    |    4 -
 .../opencores/ethernet_tri_mode/syn/CVS/Repository |    1 -
 .../fpga/opencores/ethernet_tri_mode/syn/CVS/Root  |    1 -
 usrp2/fpga/opencores/ethernet_tri_mode/syn/syn.prj |   86 -
 .../opencores/ethernet_tri_mode/syn/syn_altrea.prj |   91 -
 .../opencores/ethernet_tri_mode/syn/syn_xilinx.prj |   92 -
 usrp2/fpga/opencores/sd_interface/RTL/RxFifo.v     |  134 -
 usrp2/fpga/opencores/sd_interface/RTL/RxFifoBI.v   |  149 -
 usrp2/fpga/opencores/sd_interface/RTL/TxFifo.v     |  132 -
 usrp2/fpga/opencores/sd_interface/RTL/TxFifoBI.v   |  139 -
 .../fpga/opencores/sd_interface/RTL/ctrlStsRegBI.v |  267 -
 usrp2/fpga/opencores/sd_interface/RTL/dpMem_dc.v   |   84 -
 usrp2/fpga/opencores/sd_interface/RTL/fifoRTL.v    |  164 -
 usrp2/fpga/opencores/sd_interface/RTL/initSD.asf   |  226 -
 usrp2/fpga/opencores/sd_interface/RTL/initSD.v     |  386 -
 .../sd_interface/RTL/readWriteSDBlock.asf          |  528 -
 .../opencores/sd_interface/RTL/readWriteSDBlock.v  |  730 -
 .../sd_interface/RTL/readWriteSPIWireData.asf      |  121 -
 .../sd_interface/RTL/readWriteSPIWireData.v        |  229 -
 usrp2/fpga/opencores/sd_interface/RTL/sendCmd.asf  |  231 -
 usrp2/fpga/opencores/sd_interface/RTL/sendCmd.v    |  369 -
 usrp2/fpga/opencores/sd_interface/RTL/spiCtrl.asf  |  141 -
 usrp2/fpga/opencores/sd_interface/RTL/spiCtrl.v    |  224 -
 usrp2/fpga/opencores/sd_interface/RTL/spiMaster.v  |  383 -
 .../opencores/sd_interface/RTL/spiMaster_defines.v |  125 -
 .../fpga/opencores/sd_interface/RTL/spiTxRxData.v  |  108 -
 usrp2/fpga/opencores/sd_interface/RTL/timescale.v  |    5 -
 usrp2/fpga/opencores/sd_interface/RTL/wishBoneBI.v |  145 -
 .../fpga/opencores/sd_interface/bench/testCase0.v  |  126 -
 .../opencores/sd_interface/bench/testHarness.v     |  105 -
 .../opencores/sd_interface/doc/spiMaster_FSM.pdf   |  Bin 3871409 -> 0 bytes
 .../sd_interface/doc/spiMaster_Specification.pdf   |  Bin 45134 -> 0 bytes
 .../doc/src/spiMaster_Specification.sxw            |  Bin 42063 -> 0 bytes
 usrp2/fpga/opencores/sd_interface/model/sdModel.v  |   99 -
 .../opencores/sd_interface/model/wb_master_model.v |  176 -
 .../opencores/sd_interface/sim/build_icarus.bat    |    4 -
 usrp2/fpga/opencores/sd_interface/sim/compile.do   |   22 -
 .../opencores/sd_interface/sim/filelist.icarus     |   21 -
 usrp2/fpga/opencores/sd_interface/sim/run.do       |    5 -
 .../fpga/opencores/sd_interface/sim/run_icarus.bat |    2 -
 usrp2/fpga/opencores/sd_interface/sim/testHarness  | 5458 ----
 usrp2/fpga/opencores/sd_interface/sim/wave.do      |  133 -
 .../fpga/opencores/sd_interface/syn/spiMaster.qpf  |   23 -
 .../fpga/opencores/sd_interface/syn/spiMaster.qsf  |   57 -
 usrp2/fpga/opencores/uart16550/CVS/Entries         |    1 -
 usrp2/fpga/opencores/uart16550/CVS/Entries.Log     |    9 -
 usrp2/fpga/opencores/uart16550/CVS/Repository      |    1 -
 usrp2/fpga/opencores/uart16550/CVS/Root            |    1 -
 usrp2/fpga/opencores/uart16550/bench/CVS/Entries   |    1 -
 .../fpga/opencores/uart16550/bench/CVS/Entries.Log |    2 -
 .../fpga/opencores/uart16550/bench/CVS/Repository  |    1 -
 usrp2/fpga/opencores/uart16550/bench/CVS/Root      |    1 -
 .../opencores/uart16550/bench/verilog/CVS/Entries  |   14 -
 .../uart16550/bench/verilog/CVS/Entries.Log        |    1 -
 .../uart16550/bench/verilog/CVS/Repository         |    1 -
 .../opencores/uart16550/bench/verilog/CVS/Root     |    1 -
 .../opencores/uart16550/bench/verilog/readme.txt   |  114 -
 .../uart16550/bench/verilog/test_cases/CVS/Entries |    2 -
 .../bench/verilog/test_cases/CVS/Repository        |    1 -
 .../uart16550/bench/verilog/test_cases/CVS/Root    |    1 -
 .../uart16550/bench/verilog/test_cases/uart_int.v  |  279 -
 .../uart16550/bench/verilog/uart_device.v          |  717 -
 .../bench/verilog/uart_device_utilities.v          |  323 -
 .../opencores/uart16550/bench/verilog/uart_log.v   |  209 -
 .../opencores/uart16550/bench/verilog/uart_test.v  |  339 -
 .../uart16550/bench/verilog/uart_testbench.v       | 1366 -
 .../bench/verilog/uart_testbench_defines.v         |   89 -
 .../bench/verilog/uart_testbench_utilities.v       |  323 -
 .../uart16550/bench/verilog/uart_wb_utilities.v    |  362 -
 .../opencores/uart16550/bench/verilog/vapi.log     |  117 -
 .../opencores/uart16550/bench/verilog/wb_mast.v    |  640 -
 .../uart16550/bench/verilog/wb_master_model.v      |  844 -
 .../uart16550/bench/verilog/wb_model_defines.v     |   82 -
 .../opencores/uart16550/bench/vhdl/CVS/Entries     |    2 -
 .../opencores/uart16550/bench/vhdl/CVS/Repository  |    1 -
 usrp2/fpga/opencores/uart16550/bench/vhdl/CVS/Root |    1 -
 usrp2/fpga/opencores/uart16550/doc/CHANGES.txt     |  111 -
 usrp2/fpga/opencores/uart16550/doc/CVS/Entries     |    3 -
 usrp2/fpga/opencores/uart16550/doc/CVS/Entries.Log |    1 -
 usrp2/fpga/opencores/uart16550/doc/CVS/Repository  |    1 -
 usrp2/fpga/opencores/uart16550/doc/CVS/Root        |    1 -
 usrp2/fpga/opencores/uart16550/doc/UART_spec.pdf   |  Bin 163447 -> 0 bytes
 usrp2/fpga/opencores/uart16550/doc/src/CVS/Entries |    2 -
 .../opencores/uart16550/doc/src/CVS/Repository     |    1 -
 usrp2/fpga/opencores/uart16550/doc/src/CVS/Root    |    1 -
 .../fpga/opencores/uart16550/doc/src/UART_spec.doc |  Bin 129024 -> 0 bytes
 usrp2/fpga/opencores/uart16550/fv/CVS/Entries      |    2 -
 usrp2/fpga/opencores/uart16550/fv/CVS/Repository   |    1 -
 usrp2/fpga/opencores/uart16550/fv/CVS/Root         |    1 -
 usrp2/fpga/opencores/uart16550/lint/CVS/Entries    |    1 -
 .../fpga/opencores/uart16550/lint/CVS/Entries.Log  |    4 -
 usrp2/fpga/opencores/uart16550/lint/CVS/Repository |    1 -
 usrp2/fpga/opencores/uart16550/lint/CVS/Root       |    1 -
 .../fpga/opencores/uart16550/lint/bin/CVS/Entries  |    2 -
 .../opencores/uart16550/lint/bin/CVS/Repository    |    1 -
 usrp2/fpga/opencores/uart16550/lint/bin/CVS/Root   |    1 -
 .../fpga/opencores/uart16550/lint/log/CVS/Entries  |    2 -
 .../opencores/uart16550/lint/log/CVS/Repository    |    1 -
 usrp2/fpga/opencores/uart16550/lint/log/CVS/Root   |    1 -
 .../fpga/opencores/uart16550/lint/out/CVS/Entries  |    2 -
 .../opencores/uart16550/lint/out/CVS/Repository    |    1 -
 usrp2/fpga/opencores/uart16550/lint/out/CVS/Root   |    1 -
 .../fpga/opencores/uart16550/lint/run/CVS/Entries  |    2 -
 .../opencores/uart16550/lint/run/CVS/Repository    |    1 -
 usrp2/fpga/opencores/uart16550/lint/run/CVS/Root   |    1 -
 usrp2/fpga/opencores/uart16550/rtl/CVS/Entries     |    1 -
 usrp2/fpga/opencores/uart16550/rtl/CVS/Entries.Log |    3 -
 usrp2/fpga/opencores/uart16550/rtl/CVS/Repository  |    1 -
 usrp2/fpga/opencores/uart16550/rtl/CVS/Root        |    1 -
 .../uart16550/rtl/verilog-backup/CVS/Entries       |    9 -
 .../uart16550/rtl/verilog-backup/CVS/Repository    |    1 -
 .../uart16550/rtl/verilog-backup/CVS/Root          |    1 -
 .../uart16550/rtl/verilog-backup/timescale.v       |    3 -
 .../uart16550/rtl/verilog-backup/uart_defines.v    |  177 -
 .../uart16550/rtl/verilog-backup/uart_fifo.v       |  278 -
 .../uart16550/rtl/verilog-backup/uart_receiver.v   |  341 -
 .../uart16550/rtl/verilog-backup/uart_regs.v       |  532 -
 .../uart16550/rtl/verilog-backup/uart_top.v        |  170 -
 .../rtl/verilog-backup/uart_transmitter.v          |  288 -
 .../uart16550/rtl/verilog-backup/uart_wb.v         |  125 -
 .../opencores/uart16550/rtl/verilog/CVS/Entries    |   13 -
 .../opencores/uart16550/rtl/verilog/CVS/Repository |    1 -
 .../fpga/opencores/uart16550/rtl/verilog/CVS/Root  |    1 -
 .../fpga/opencores/uart16550/rtl/verilog/raminfr.v |  114 -
 .../opencores/uart16550/rtl/verilog/timescale.v    |   64 -
 .../uart16550/rtl/verilog/uart_debug_if.v          |  129 -
 .../opencores/uart16550/rtl/verilog/uart_defines.v |  250 -
 .../uart16550/rtl/verilog/uart_receiver.v          |  485 -
 .../opencores/uart16550/rtl/verilog/uart_regs.v    |  903 -
 .../opencores/uart16550/rtl/verilog/uart_rfifo.v   |  323 -
 .../uart16550/rtl/verilog/uart_sync_flops.v        |  125 -
 .../opencores/uart16550/rtl/verilog/uart_tfifo.v   |  246 -
 .../opencores/uart16550/rtl/verilog/uart_top.v     |  337 -
 .../uart16550/rtl/verilog/uart_transmitter.v       |  355 -
 .../fpga/opencores/uart16550/rtl/verilog/uart_wb.v |  308 -
 .../fpga/opencores/uart16550/rtl/vhdl/CVS/Entries  |    2 -
 .../opencores/uart16550/rtl/vhdl/CVS/Repository    |    1 -
 usrp2/fpga/opencores/uart16550/rtl/vhdl/CVS/Root   |    1 -
 usrp2/fpga/opencores/uart16550/sim/CVS/Entries     |    1 -
 usrp2/fpga/opencores/uart16550/sim/CVS/Entries.Log |    2 -
 usrp2/fpga/opencores/uart16550/sim/CVS/Repository  |    1 -
 usrp2/fpga/opencores/uart16550/sim/CVS/Root        |    1 -
 .../opencores/uart16550/sim/gate_sim/CVS/Entries   |    1 -
 .../uart16550/sim/gate_sim/CVS/Entries.Log         |    5 -
 .../uart16550/sim/gate_sim/CVS/Repository          |    1 -
 .../fpga/opencores/uart16550/sim/gate_sim/CVS/Root |    1 -
 .../uart16550/sim/gate_sim/bin/CVS/Entries         |    2 -
 .../uart16550/sim/gate_sim/bin/CVS/Repository      |    1 -
 .../opencores/uart16550/sim/gate_sim/bin/CVS/Root  |    1 -
 .../uart16550/sim/gate_sim/log/CVS/Entries         |    2 -
 .../uart16550/sim/gate_sim/log/CVS/Repository      |    1 -
 .../opencores/uart16550/sim/gate_sim/log/CVS/Root  |    1 -
 .../uart16550/sim/gate_sim/out/CVS/Entries         |    2 -
 .../uart16550/sim/gate_sim/out/CVS/Repository      |    1 -
 .../opencores/uart16550/sim/gate_sim/out/CVS/Root  |    1 -
 .../uart16550/sim/gate_sim/run/CVS/Entries         |    2 -
 .../uart16550/sim/gate_sim/run/CVS/Repository      |    1 -
 .../opencores/uart16550/sim/gate_sim/run/CVS/Root  |    1 -
 .../uart16550/sim/gate_sim/src/CVS/Entries         |    2 -
 .../uart16550/sim/gate_sim/src/CVS/Repository      |    1 -
 .../opencores/uart16550/sim/gate_sim/src/CVS/Root  |    1 -
 .../opencores/uart16550/sim/rtl_sim/CVS/Entries    |    1 -
 .../uart16550/sim/rtl_sim/CVS/Entries.Log          |    5 -
 .../opencores/uart16550/sim/rtl_sim/CVS/Repository |    1 -
 .../fpga/opencores/uart16550/sim/rtl_sim/CVS/Root  |    1 -
 .../uart16550/sim/rtl_sim/bin/CVS/Entries          |    3 -
 .../uart16550/sim/rtl_sim/bin/CVS/Repository       |    1 -
 .../opencores/uart16550/sim/rtl_sim/bin/CVS/Root   |    1 -
 .../opencores/uart16550/sim/rtl_sim/bin/nc.scr     |    9 -
 .../opencores/uart16550/sim/rtl_sim/bin/sim.tcl    |    5 -
 .../uart16550/sim/rtl_sim/log/CVS/Entries          |    4 -
 .../uart16550/sim/rtl_sim/log/CVS/Repository       |    1 -
 .../opencores/uart16550/sim/rtl_sim/log/CVS/Root   |    1 -
 .../sim/rtl_sim/log/uart_interrupts_report.log     |   23 -
 .../sim/rtl_sim/log/uart_interrupts_verbose.log    |  104 -
 .../uart16550/sim/rtl_sim/out/CVS/Entries          |    2 -
 .../uart16550/sim/rtl_sim/out/CVS/Repository       |    1 -
 .../opencores/uart16550/sim/rtl_sim/out/CVS/Root   |    1 -
 .../uart16550/sim/rtl_sim/run/CVS/Entries          |    4 -
 .../uart16550/sim/rtl_sim/run/CVS/Repository       |    1 -
 .../opencores/uart16550/sim/rtl_sim/run/CVS/Root   |    1 -
 .../uart16550/sim/rtl_sim/run/run_signalscan       |    2 -
 .../opencores/uart16550/sim/rtl_sim/run/run_sim    |    1 -
 .../uart16550/sim/rtl_sim/run/run_sim.scr          |  345 -
 .../uart16550/sim/rtl_sim/src/CVS/Entries          |    2 -
 .../uart16550/sim/rtl_sim/src/CVS/Repository       |    1 -
 .../opencores/uart16550/sim/rtl_sim/src/CVS/Root   |    1 -
 usrp2/fpga/opencores/uart16550/syn/CVS/Entries     |    1 -
 usrp2/fpga/opencores/uart16550/syn/CVS/Entries.Log |    5 -
 usrp2/fpga/opencores/uart16550/syn/CVS/Repository  |    1 -
 usrp2/fpga/opencores/uart16550/syn/CVS/Root        |    1 -
 usrp2/fpga/opencores/uart16550/syn/bin/CVS/Entries |    2 -
 .../opencores/uart16550/syn/bin/CVS/Repository     |    1 -
 usrp2/fpga/opencores/uart16550/syn/bin/CVS/Root    |    1 -
 usrp2/fpga/opencores/uart16550/syn/log/CVS/Entries |    2 -
 .../opencores/uart16550/syn/log/CVS/Repository     |    1 -
 usrp2/fpga/opencores/uart16550/syn/log/CVS/Root    |    1 -
 usrp2/fpga/opencores/uart16550/syn/out/CVS/Entries |    2 -
 .../opencores/uart16550/syn/out/CVS/Repository     |    1 -
 usrp2/fpga/opencores/uart16550/syn/out/CVS/Root    |    1 -
 usrp2/fpga/opencores/uart16550/syn/run/CVS/Entries |    2 -
 .../opencores/uart16550/syn/run/CVS/Repository     |    1 -
 usrp2/fpga/opencores/uart16550/syn/run/CVS/Root    |    1 -
 usrp2/fpga/opencores/uart16550/syn/src/CVS/Entries |    2 -
 .../opencores/uart16550/syn/src/CVS/Repository     |    1 -
 usrp2/fpga/opencores/uart16550/syn/src/CVS/Root    |    1 -
 usrp2/fpga/opencores/uart16550/verilog/CVS/Entries |    1 -
 .../opencores/uart16550/verilog/CVS/Repository     |    1 -
 usrp2/fpga/opencores/uart16550/verilog/CVS/Root    |    1 -
 usrp2/fpga/opencores/wb_conbus/CVS/Entries         |    2 -
 usrp2/fpga/opencores/wb_conbus/CVS/Repository      |    1 -
 usrp2/fpga/opencores/wb_conbus/CVS/Root            |    1 -
 usrp2/fpga/opencores/wb_conbus/bench/CVS/Entries   |    1 -
 .../fpga/opencores/wb_conbus/bench/CVS/Repository  |    1 -
 usrp2/fpga/opencores/wb_conbus/bench/CVS/Root      |    1 -
 .../opencores/wb_conbus/bench/verilog/CVS/Entries  |    6 -
 .../wb_conbus/bench/verilog/CVS/Repository         |    1 -
 .../opencores/wb_conbus/bench/verilog/CVS/Root     |    1 -
 .../wb_conbus/bench/verilog/tb_wb_conbus_top.v     |  759 -
 .../fpga/opencores/wb_conbus/bench/verilog/tests.v |  828 -
 .../wb_conbus/bench/verilog/wb_mast_model.v        |  693 -
 .../wb_conbus/bench/verilog/wb_model_defines.v     |   64 -
 .../wb_conbus/bench/verilog/wb_slv_model.v         |  167 -
 usrp2/fpga/opencores/wb_conbus/rtl/CVS/Entries     |    1 -
 usrp2/fpga/opencores/wb_conbus/rtl/CVS/Repository  |    1 -
 usrp2/fpga/opencores/wb_conbus/rtl/CVS/Root        |    1 -
 .../opencores/wb_conbus/rtl/verilog/CVS/Entries    |    4 -
 .../opencores/wb_conbus/rtl/verilog/CVS/Repository |    1 -
 .../fpga/opencores/wb_conbus/rtl/verilog/CVS/Root  |    1 -
 .../wb_conbus/rtl/verilog/wb_conbus_arb.v          |  252 -
 .../wb_conbus/rtl/verilog/wb_conbus_defines.v      |   42 -
 .../wb_conbus/rtl/verilog/wb_conbus_top.v          |  655 -
 usrp2/fpga/sdr_lib/rx_control.v                    |   77 +-
 usrp2/fpga/sdr_lib/tx_control.v                    |   72 +-
 usrp2/fpga/serdes/serdes.v                         |   16 +-
 usrp2/fpga/serdes/serdes_rx.v                      |  111 +-
 usrp2/fpga/serdes/serdes_tx.v                      |   51 +-
 usrp2/fpga/simple_gemac/.gitignore                 |    3 +-
 usrp2/fpga/simple_gemac/delay_line.v               |    2 +-
 usrp2/fpga/simple_gemac/eth_tasks_f36.v            |   92 +
 usrp2/fpga/simple_gemac/flow_ctrl_rx.v             |  106 +-
 usrp2/fpga/simple_gemac/ll8_shortfifo.v            |   13 -
 usrp2/fpga/simple_gemac/rxmac_to_ll8.v             |   14 +-
 usrp2/fpga/simple_gemac/simple_gemac.v             |    9 +-
 usrp2/fpga/simple_gemac/simple_gemac_rx.v          |   50 +-
 usrp2/fpga/simple_gemac/simple_gemac_tx.v          |   16 +-
 usrp2/fpga/simple_gemac/simple_gemac_wb.v          |   35 +-
 usrp2/fpga/simple_gemac/simple_gemac_wrapper.build |    1 +
 usrp2/fpga/simple_gemac/simple_gemac_wrapper.v     |  159 +-
 .../simple_gemac/simple_gemac_wrapper_f36_tb.v     |  243 +
 usrp2/fpga/simple_gemac/simple_gemac_wrapper_tb.v  |  140 +-
 usrp2/fpga/testbench/cmdfile                       |   19 +-
 usrp2/fpga/top/single_u2_sim/single_u2_sim.v       |    2 +-
 usrp2/fpga/top/u2_core/.gitignore                  |    1 +
 usrp2/fpga/top/u2_core/u2_core.v                   |  298 +-
 usrp2/fpga/top/u2_rev3/Makefile                    |   67 +-
 545 files changed, 2700 insertions(+), 90245 deletions(-)
 create mode 100644 usrp2/fpga/.gitignore
 delete mode 100644 usrp2/fpga/control_lib/buffer_int.v
 delete mode 100644 usrp2/fpga/control_lib/buffer_int_tb.v
 delete mode 100644 usrp2/fpga/control_lib/buffer_pool.v
 delete mode 100644 usrp2/fpga/control_lib/buffer_pool_tb.v
 delete mode 100644 usrp2/fpga/control_lib/cascadefifo.v
 delete mode 100644 usrp2/fpga/control_lib/cascadefifo2.v
 delete mode 100644 usrp2/fpga/control_lib/fifo_2clock.v
 delete mode 100644 usrp2/fpga/control_lib/fifo_2clock_casc.v
 delete mode 100644 usrp2/fpga/control_lib/fifo_reader.v
 delete mode 100644 usrp2/fpga/control_lib/fifo_writer.v
 delete mode 100644 usrp2/fpga/control_lib/giantfifo.v
 delete mode 100644 usrp2/fpga/control_lib/giantfifo_tb.v
 create mode 100644 usrp2/fpga/control_lib/newfifo/.gitignore
 delete mode 100644 usrp2/fpga/control_lib/newfifo/fifo18_to_ll8.v
 delete mode 100644 usrp2/fpga/control_lib/newfifo/fifo_2clock_casc.v
 create mode 100644 usrp2/fpga/control_lib/newfifo/fifo_2clock_cascade.v
 delete mode 100644 usrp2/fpga/control_lib/newfifo/fifo_new_tb.v
 copy usrp2/fpga/{simple_gemac => control_lib/newfifo}/ll8_shortfifo.v (100%)
 create mode 100644 usrp2/fpga/coregen/fifo_xlnx_16x19_2clk.ngc
 create mode 100644 usrp2/fpga/coregen/fifo_xlnx_16x19_2clk.v
 create mode 100644 usrp2/fpga/coregen/fifo_xlnx_16x19_2clk.veo
 create mode 100644 usrp2/fpga/coregen/fifo_xlnx_16x19_2clk.xco
 copy usrp2/fpga/coregen/{fifo_xlnx_2Kx36_2clk_fifo_generator_v4_3_xst_1.lso => 
fifo_xlnx_16x19_2clk_fifo_generator_v4_3_xst_1.lso} (100%)
 create mode 100644 
usrp2/fpga/coregen/fifo_xlnx_16x19_2clk_fifo_generator_v4_3_xst_1.ngc_xst.xrpt
 create mode 100644 usrp2/fpga/coregen/fifo_xlnx_16x19_2clk_flist.txt
 create mode 100644 usrp2/fpga/coregen/fifo_xlnx_16x19_2clk_readme.txt
 create mode 100644 usrp2/fpga/coregen/fifo_xlnx_16x19_2clk_xmdf.tcl
 create mode 100644 usrp2/fpga/coregen/fifo_xlnx_64x36_2clk.ngc
 create mode 100644 usrp2/fpga/coregen/fifo_xlnx_64x36_2clk.v
 create mode 100644 usrp2/fpga/coregen/fifo_xlnx_64x36_2clk.veo
 create mode 100644 usrp2/fpga/coregen/fifo_xlnx_64x36_2clk.xco
 copy usrp2/fpga/coregen/{fifo_xlnx_2Kx36_2clk_fifo_generator_v4_3_xst_1.lso => 
fifo_xlnx_64x36_2clk_fifo_generator_v4_3_xst_1.lso} (100%)
 create mode 100644 
usrp2/fpga/coregen/fifo_xlnx_64x36_2clk_fifo_generator_v4_3_xst_1.ngc_xst.xrpt
 create mode 100644 usrp2/fpga/coregen/fifo_xlnx_64x36_2clk_flist.txt
 create mode 100644 usrp2/fpga/coregen/fifo_xlnx_64x36_2clk_readme.txt
 create mode 100644 usrp2/fpga/coregen/fifo_xlnx_64x36_2clk_xmdf.tcl
 delete mode 100644 usrp2/fpga/eth/bench/verilog/.gitignore
 delete mode 100644 usrp2/fpga/eth/bench/verilog/100m.scr
 delete mode 100644 usrp2/fpga/eth/bench/verilog/Phy_sim.v
 delete mode 100644 usrp2/fpga/eth/bench/verilog/User_int_sim.v
 delete mode 100644 usrp2/fpga/eth/bench/verilog/error.scr
 delete mode 100644 usrp2/fpga/eth/bench/verilog/files.lst
 delete mode 100644 usrp2/fpga/eth/bench/verilog/host_sim.v
 delete mode 100644 usrp2/fpga/eth/bench/verilog/icomp.bat
 delete mode 100644 usrp2/fpga/eth/bench/verilog/isim.bat
 delete mode 100644 usrp2/fpga/eth/bench/verilog/jumbo_err.scr
 delete mode 100644 usrp2/fpga/eth/bench/verilog/jumbos.scr
 delete mode 100644 usrp2/fpga/eth/bench/verilog/mdio.scr
 delete mode 100644 usrp2/fpga/eth/bench/verilog/misc.scr
 delete mode 100644 usrp2/fpga/eth/bench/verilog/pause.scr
 delete mode 100644 usrp2/fpga/eth/bench/verilog/tb_top.v
 delete mode 100644 usrp2/fpga/eth/bench/verilog/test.scr
 delete mode 100644 usrp2/fpga/eth/bench/verilog/txmac.scr
 delete mode 100644 usrp2/fpga/eth/demo/verilog/RAMB16_S1_S2.v
 delete mode 100644 usrp2/fpga/eth/demo/verilog/demo.ucf
 delete mode 100644 usrp2/fpga/eth/demo/verilog/demo.v
 delete mode 100644 usrp2/fpga/eth/demo/verilog/demo_packet_descriptor_memory.v
 delete mode 100644 usrp2/fpga/eth/demo/verilog/demo_packet_generator.v
 delete mode 100644 usrp2/fpga/eth/demo/verilog/demo_uart.v
 delete mode 100644 usrp2/fpga/eth/demo/verilog/demo_wishbone_master.v
 delete mode 100644 usrp2/fpga/eth/demo/verilog/tb_demo.v
 delete mode 100644 usrp2/fpga/eth/header_ram.v
 delete mode 100644 usrp2/fpga/eth/mac_rxfifo_int.v
 delete mode 100644 usrp2/fpga/eth/mac_txfifo_int.v
 delete mode 100644 usrp2/fpga/eth/rtl/verilog/Clk_ctrl.v
 delete mode 100644 usrp2/fpga/eth/rtl/verilog/MAC_rx.v
 delete mode 100644 usrp2/fpga/eth/rtl/verilog/MAC_rx/Broadcast_filter.v
 delete mode 100644 usrp2/fpga/eth/rtl/verilog/MAC_rx/CRC_chk.v
 delete mode 100644 usrp2/fpga/eth/rtl/verilog/MAC_rx/MAC_rx_FF.v
 delete mode 100644 usrp2/fpga/eth/rtl/verilog/MAC_rx/MAC_rx_add_chk.v
 delete mode 100644 usrp2/fpga/eth/rtl/verilog/MAC_rx/MAC_rx_ctrl.v
 delete mode 100644 usrp2/fpga/eth/rtl/verilog/MAC_top.v
 delete mode 100644 usrp2/fpga/eth/rtl/verilog/MAC_tx.v
 delete mode 100644 usrp2/fpga/eth/rtl/verilog/MAC_tx/CRC_gen.v
 delete mode 100644 usrp2/fpga/eth/rtl/verilog/MAC_tx/MAC_tx_FF.v
 delete mode 100644 usrp2/fpga/eth/rtl/verilog/MAC_tx/MAC_tx_addr_add.v
 delete mode 100644 usrp2/fpga/eth/rtl/verilog/MAC_tx/MAC_tx_ctrl.v
 delete mode 100644 usrp2/fpga/eth/rtl/verilog/MAC_tx/Random_gen.v
 delete mode 100644 usrp2/fpga/eth/rtl/verilog/Phy_int.v
 delete mode 100644 usrp2/fpga/eth/rtl/verilog/RMON.v
 delete mode 100644 usrp2/fpga/eth/rtl/verilog/RMON/RMON_addr_gen.v
 delete mode 100644 usrp2/fpga/eth/rtl/verilog/RMON/RMON_ctrl.v
 delete mode 100644 usrp2/fpga/eth/rtl/verilog/Reg_int.v
 delete mode 100644 usrp2/fpga/eth/rtl/verilog/TECH/eth_clk_div2.v
 delete mode 100644 usrp2/fpga/eth/rtl/verilog/TECH/eth_clk_switch.v
 delete mode 100644 usrp2/fpga/eth/rtl/verilog/TECH/xilinx/BUFGMUX.v
 delete mode 100644 usrp2/fpga/eth/rtl/verilog/TECH/xilinx/RAMB16_S36_S36.v
 delete mode 100644 usrp2/fpga/eth/rtl/verilog/elastic_buffer.v
 delete mode 100644 usrp2/fpga/eth/rtl/verilog/elastic_buffer_tb.v
 delete mode 100644 usrp2/fpga/eth/rtl/verilog/eth_miim.v
 delete mode 100644 usrp2/fpga/eth/rtl/verilog/flow_ctrl_rx.v
 delete mode 100644 usrp2/fpga/eth/rtl/verilog/flow_ctrl_tx.v
 delete mode 100644 usrp2/fpga/eth/rtl/verilog/header.vh
 delete mode 100644 usrp2/fpga/eth/rtl/verilog/miim/eth_clockgen.v
 delete mode 100644 usrp2/fpga/eth/rtl/verilog/miim/eth_outputcontrol.v
 delete mode 100644 usrp2/fpga/eth/rtl/verilog/miim/eth_shiftreg.v
 delete mode 100644 usrp2/fpga/eth/rx_prot_engine.v
 delete mode 100644 usrp2/fpga/eth/tx_prot_engine.v
 rename usrp2/fpga/{eth/bench/verilog => models}/miim_model.v (100%)
 create mode 100644 usrp2/fpga/models/phy_sim.v
 rename usrp2/fpga/{eth/bench/verilog => models}/xlnx_glbl.v (100%)
 delete mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/.gitignore
 delete mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/bench/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/bench/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/bench/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/bench/CVS/Template
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/bench/verilog/CVS/Entries
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/bench/verilog/CVS/Repository
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/bench/verilog/CVS/Root
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/bench/verilog/CVS/Template
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/bench/verilog/Phy_sim.v
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/bench/verilog/User_int_sim.v
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/bench/verilog/altera_mf.v
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/bench/verilog/host_sim.v
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/bench/verilog/reg_int_sim.v
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/bench/verilog/tb_top.v
 delete mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/cmdfile
 delete mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/doc/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/doc/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/doc/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/doc/CVS/Template
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/doc/Tri-mode_Ethernet_MAC_Specifications.pdf
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/doc/Tri-mode_Ethernet_MAC_Verification_plan.pdf
 delete mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/CVS/Template
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/CVS/Entries
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/CVS/Root
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/CVS/Template
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/Clk_ctrl.v
 delete mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_rx.v
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_rx/Broadcast_filter.v
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_rx/CRC_chk.v
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_rx/CVS/Entries
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_rx/CVS/Repository
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_rx/CVS/Root
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_rx/CVS/Template
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_rx/MAC_rx_FF.v
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_rx/MAC_rx_add_chk.v
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_rx/MAC_rx_ctrl.v
 delete mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_top.v
 delete mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_tx.v
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_tx/CRC_gen.v
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_tx/CVS/Entries
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_tx/CVS/Repository
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_tx/CVS/Root
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_tx/CVS/Template
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_tx/MAC_tx_FF.v
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_tx/MAC_tx_addr_add.v
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_tx/MAC_tx_ctrl.v
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_tx/flow_ctrl.v
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_tx/random_gen.v
 delete mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/Phy_int.v
 delete mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/RMON.v
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/RMON/CVS/Entries
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/RMON/CVS/Repository
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/RMON/CVS/Root
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/RMON/CVS/Template
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/RMON/RMON_addr_gen.v
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/RMON/RMON_ctrl.v
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/RMON/RMON_dpram.v
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/CLK_SWITCH.v
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/CVS/Entries
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/CVS/Repository
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/CVS/Root
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/CVS/Template
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/altera/CLK_DIV2.v
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/altera/CLK_SWITCH.v
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/altera/CVS/Entries
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/altera/CVS/Repository
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/altera/CVS/Root
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/altera/CVS/Template
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/altera/duram.v
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/clkdiv2.v
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/duram.v
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/xilinx/CLK_DIV2.v
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/xilinx/CLK_SWITCH.v
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/xilinx/CVS/Entries
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/xilinx/CVS/Repository
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/xilinx/CVS/Root
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/xilinx/CVS/Template
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/xilinx/duram.v
 delete mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/cmdfile
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/eth_miim.v
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/eth_wrapper.v
 delete mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/header.v
 delete mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/mac_tb.v
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/miim/CVS/Entries
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/miim/CVS/Repository
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/miim/CVS/Root
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/miim/CVS/Template
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/miim/eth_clockgen.v
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/miim/eth_outputcontrol.v
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/miim/eth_shiftreg.v
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/miim/timescale.v
 delete mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/reg_int.v
 delete mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/sim/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/sim/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/sim/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/sim/CVS/Template
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/CVS/Entries
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/CVS/Root
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/CVS/Template
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/CVS/Entries
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/CVS/Repository
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/CVS/Root
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/CVS/Template
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/CVS/Entries
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/CVS/Repository
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/CVS/Root
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/CVS/Template
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/cds.lib
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/com.nc
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/config.ini
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/hdl.var
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/ip_32W_check.dll
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/ip_32W_check_vpi.dll
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/ip_32W_gen.dll
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/ip_32W_gen_vpi.dll
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/sim.nc
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/sim_only.nc
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/vlog.list
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/1000Mbps_duplex.vec
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/100Mbps_duplex.vec
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/10Mbps_duplex.vec
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/46-50.ini
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/CPU.vec
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/CVS/Entries
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/CVS/Repository
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/CVS/Root
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/CVS/Template
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/batch.dat
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/config.ini
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/flow_ctrl.vec
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/source_mac_replace.vec
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/target_mac_check.vec
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/log/CVS/Entries
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/log/CVS/Repository
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/log/CVS/Root
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/log/CVS/Template
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/log/ncsim.log
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/out/CVS/Entries
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/out/CVS/Repository
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/out/CVS/Root
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/out/CVS/Template
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/run/CVS/Entries
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/run/CVS/Repository
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/run/CVS/Root
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/run/CVS/Template
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/script/CVS/Entries
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/script/CVS/Repository
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/script/CVS/Root
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/script/CVS/Template
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/script/batch_mode.tcl
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/script/filesel.tcl
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/script/run.tcl
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/script/run_proc.tcl
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/script/set_reg_data.tcl
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/script/set_stimulus.tcl
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/script/start_verify.tcl
 delete mode 100644 
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/script/user_lib.tcl
 delete mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/start.tcl
 delete mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/syn/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/syn/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/syn/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/syn/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/syn/syn.prj
 delete mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/syn/syn_altrea.prj
 delete mode 100644 usrp2/fpga/opencores/ethernet_tri_mode/syn/syn_xilinx.prj
 delete mode 100644 usrp2/fpga/opencores/sd_interface/RTL/RxFifo.v
 delete mode 100644 usrp2/fpga/opencores/sd_interface/RTL/RxFifoBI.v
 delete mode 100644 usrp2/fpga/opencores/sd_interface/RTL/TxFifo.v
 delete mode 100644 usrp2/fpga/opencores/sd_interface/RTL/TxFifoBI.v
 delete mode 100644 usrp2/fpga/opencores/sd_interface/RTL/ctrlStsRegBI.v
 delete mode 100644 usrp2/fpga/opencores/sd_interface/RTL/dpMem_dc.v
 delete mode 100644 usrp2/fpga/opencores/sd_interface/RTL/fifoRTL.v
 delete mode 100644 usrp2/fpga/opencores/sd_interface/RTL/initSD.asf
 delete mode 100644 usrp2/fpga/opencores/sd_interface/RTL/initSD.v
 delete mode 100644 usrp2/fpga/opencores/sd_interface/RTL/readWriteSDBlock.asf
 delete mode 100644 usrp2/fpga/opencores/sd_interface/RTL/readWriteSDBlock.v
 delete mode 100644 
usrp2/fpga/opencores/sd_interface/RTL/readWriteSPIWireData.asf
 delete mode 100644 usrp2/fpga/opencores/sd_interface/RTL/readWriteSPIWireData.v
 delete mode 100644 usrp2/fpga/opencores/sd_interface/RTL/sendCmd.asf
 delete mode 100644 usrp2/fpga/opencores/sd_interface/RTL/sendCmd.v
 delete mode 100644 usrp2/fpga/opencores/sd_interface/RTL/spiCtrl.asf
 delete mode 100644 usrp2/fpga/opencores/sd_interface/RTL/spiCtrl.v
 delete mode 100644 usrp2/fpga/opencores/sd_interface/RTL/spiMaster.v
 delete mode 100644 usrp2/fpga/opencores/sd_interface/RTL/spiMaster_defines.v
 delete mode 100644 usrp2/fpga/opencores/sd_interface/RTL/spiTxRxData.v
 delete mode 100644 usrp2/fpga/opencores/sd_interface/RTL/timescale.v
 delete mode 100644 usrp2/fpga/opencores/sd_interface/RTL/wishBoneBI.v
 delete mode 100644 usrp2/fpga/opencores/sd_interface/bench/testCase0.v
 delete mode 100644 usrp2/fpga/opencores/sd_interface/bench/testHarness.v
 delete mode 100644 usrp2/fpga/opencores/sd_interface/doc/spiMaster_FSM.pdf
 delete mode 100644 
usrp2/fpga/opencores/sd_interface/doc/spiMaster_Specification.pdf
 delete mode 100644 
usrp2/fpga/opencores/sd_interface/doc/src/spiMaster_Specification.sxw
 delete mode 100644 usrp2/fpga/opencores/sd_interface/model/sdModel.v
 delete mode 100644 usrp2/fpga/opencores/sd_interface/model/wb_master_model.v
 delete mode 100644 usrp2/fpga/opencores/sd_interface/sim/build_icarus.bat
 delete mode 100644 usrp2/fpga/opencores/sd_interface/sim/compile.do
 delete mode 100644 usrp2/fpga/opencores/sd_interface/sim/filelist.icarus
 delete mode 100644 usrp2/fpga/opencores/sd_interface/sim/run.do
 delete mode 100644 usrp2/fpga/opencores/sd_interface/sim/run_icarus.bat
 delete mode 100644 usrp2/fpga/opencores/sd_interface/sim/testHarness
 delete mode 100644 usrp2/fpga/opencores/sd_interface/sim/wave.do
 delete mode 100644 usrp2/fpga/opencores/sd_interface/syn/spiMaster.qpf
 delete mode 100644 usrp2/fpga/opencores/sd_interface/syn/spiMaster.qsf
 delete mode 100644 usrp2/fpga/opencores/uart16550/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/uart16550/CVS/Entries.Log
 delete mode 100644 usrp2/fpga/opencores/uart16550/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/uart16550/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/uart16550/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/uart16550/bench/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/uart16550/bench/CVS/Entries.Log
 delete mode 100644 usrp2/fpga/opencores/uart16550/bench/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/uart16550/bench/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/uart16550/bench/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/uart16550/bench/verilog/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/uart16550/bench/verilog/CVS/Entries.Log
 delete mode 100644 usrp2/fpga/opencores/uart16550/bench/verilog/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/uart16550/bench/verilog/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/uart16550/bench/verilog/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/uart16550/bench/verilog/readme.txt
 delete mode 100644 
usrp2/fpga/opencores/uart16550/bench/verilog/test_cases/CVS/Entries
 delete mode 100644 
usrp2/fpga/opencores/uart16550/bench/verilog/test_cases/CVS/Repository
 delete mode 100644 
usrp2/fpga/opencores/uart16550/bench/verilog/test_cases/CVS/Root
 delete mode 100644 
usrp2/fpga/opencores/uart16550/bench/verilog/test_cases/CVS/Template
 delete mode 100755 
usrp2/fpga/opencores/uart16550/bench/verilog/test_cases/uart_int.v
 delete mode 100644 usrp2/fpga/opencores/uart16550/bench/verilog/uart_device.v
 delete mode 100644 
usrp2/fpga/opencores/uart16550/bench/verilog/uart_device_utilities.v
 delete mode 100644 usrp2/fpga/opencores/uart16550/bench/verilog/uart_log.v
 delete mode 100644 usrp2/fpga/opencores/uart16550/bench/verilog/uart_test.v
 delete mode 100644 
usrp2/fpga/opencores/uart16550/bench/verilog/uart_testbench.v
 delete mode 100644 
usrp2/fpga/opencores/uart16550/bench/verilog/uart_testbench_defines.v
 delete mode 100644 
usrp2/fpga/opencores/uart16550/bench/verilog/uart_testbench_utilities.v
 delete mode 100644 
usrp2/fpga/opencores/uart16550/bench/verilog/uart_wb_utilities.v
 delete mode 100644 usrp2/fpga/opencores/uart16550/bench/verilog/vapi.log
 delete mode 100644 usrp2/fpga/opencores/uart16550/bench/verilog/wb_mast.v
 delete mode 100644 
usrp2/fpga/opencores/uart16550/bench/verilog/wb_master_model.v
 delete mode 100644 
usrp2/fpga/opencores/uart16550/bench/verilog/wb_model_defines.v
 delete mode 100644 usrp2/fpga/opencores/uart16550/bench/vhdl/.keepme
 delete mode 100644 usrp2/fpga/opencores/uart16550/bench/vhdl/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/uart16550/bench/vhdl/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/uart16550/bench/vhdl/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/uart16550/bench/vhdl/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/uart16550/doc/CHANGES.txt
 delete mode 100644 usrp2/fpga/opencores/uart16550/doc/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/uart16550/doc/CVS/Entries.Log
 delete mode 100644 usrp2/fpga/opencores/uart16550/doc/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/uart16550/doc/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/uart16550/doc/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/uart16550/doc/UART_spec.pdf
 delete mode 100644 usrp2/fpga/opencores/uart16550/doc/src/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/uart16550/doc/src/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/uart16550/doc/src/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/uart16550/doc/src/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/uart16550/doc/src/UART_spec.doc
 delete mode 100644 usrp2/fpga/opencores/uart16550/fv/.keepme
 delete mode 100644 usrp2/fpga/opencores/uart16550/fv/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/uart16550/fv/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/uart16550/fv/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/uart16550/fv/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/uart16550/lint/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/uart16550/lint/CVS/Entries.Log
 delete mode 100644 usrp2/fpga/opencores/uart16550/lint/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/uart16550/lint/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/uart16550/lint/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/uart16550/lint/bin/.keepme
 delete mode 100644 usrp2/fpga/opencores/uart16550/lint/bin/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/uart16550/lint/bin/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/uart16550/lint/bin/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/uart16550/lint/bin/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/uart16550/lint/log/.keepme
 delete mode 100644 usrp2/fpga/opencores/uart16550/lint/log/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/uart16550/lint/log/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/uart16550/lint/log/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/uart16550/lint/log/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/uart16550/lint/out/.keepme
 delete mode 100644 usrp2/fpga/opencores/uart16550/lint/out/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/uart16550/lint/out/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/uart16550/lint/out/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/uart16550/lint/out/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/uart16550/lint/run/.keepme
 delete mode 100644 usrp2/fpga/opencores/uart16550/lint/run/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/uart16550/lint/run/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/uart16550/lint/run/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/uart16550/lint/run/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/uart16550/rtl/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/uart16550/rtl/CVS/Entries.Log
 delete mode 100644 usrp2/fpga/opencores/uart16550/rtl/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/uart16550/rtl/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/uart16550/rtl/CVS/Template
 delete mode 100644 
usrp2/fpga/opencores/uart16550/rtl/verilog-backup/CVS/Entries
 delete mode 100644 
usrp2/fpga/opencores/uart16550/rtl/verilog-backup/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/uart16550/rtl/verilog-backup/CVS/Root
 delete mode 100644 
usrp2/fpga/opencores/uart16550/rtl/verilog-backup/CVS/Template
 delete mode 100644 
usrp2/fpga/opencores/uart16550/rtl/verilog-backup/timescale.v
 delete mode 100644 
usrp2/fpga/opencores/uart16550/rtl/verilog-backup/uart_defines.v
 delete mode 100644 
usrp2/fpga/opencores/uart16550/rtl/verilog-backup/uart_fifo.v
 delete mode 100644 
usrp2/fpga/opencores/uart16550/rtl/verilog-backup/uart_receiver.v
 delete mode 100644 
usrp2/fpga/opencores/uart16550/rtl/verilog-backup/uart_regs.v
 delete mode 100644 usrp2/fpga/opencores/uart16550/rtl/verilog-backup/uart_top.v
 delete mode 100644 
usrp2/fpga/opencores/uart16550/rtl/verilog-backup/uart_transmitter.v
 delete mode 100644 usrp2/fpga/opencores/uart16550/rtl/verilog-backup/uart_wb.v
 delete mode 100644 usrp2/fpga/opencores/uart16550/rtl/verilog/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/uart16550/rtl/verilog/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/uart16550/rtl/verilog/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/uart16550/rtl/verilog/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/uart16550/rtl/verilog/raminfr.v
 delete mode 100644 usrp2/fpga/opencores/uart16550/rtl/verilog/timescale.v
 delete mode 100644 usrp2/fpga/opencores/uart16550/rtl/verilog/uart_debug_if.v
 delete mode 100644 usrp2/fpga/opencores/uart16550/rtl/verilog/uart_defines.v
 delete mode 100644 usrp2/fpga/opencores/uart16550/rtl/verilog/uart_receiver.v
 delete mode 100644 usrp2/fpga/opencores/uart16550/rtl/verilog/uart_regs.v
 delete mode 100644 usrp2/fpga/opencores/uart16550/rtl/verilog/uart_rfifo.v
 delete mode 100644 usrp2/fpga/opencores/uart16550/rtl/verilog/uart_sync_flops.v
 delete mode 100644 usrp2/fpga/opencores/uart16550/rtl/verilog/uart_tfifo.v
 delete mode 100644 usrp2/fpga/opencores/uart16550/rtl/verilog/uart_top.v
 delete mode 100644 
usrp2/fpga/opencores/uart16550/rtl/verilog/uart_transmitter.v
 delete mode 100644 usrp2/fpga/opencores/uart16550/rtl/verilog/uart_wb.v
 delete mode 100644 usrp2/fpga/opencores/uart16550/rtl/vhdl/.keepme
 delete mode 100644 usrp2/fpga/opencores/uart16550/rtl/vhdl/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/uart16550/rtl/vhdl/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/uart16550/rtl/vhdl/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/uart16550/rtl/vhdl/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/uart16550/sim/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/uart16550/sim/CVS/Entries.Log
 delete mode 100644 usrp2/fpga/opencores/uart16550/sim/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/uart16550/sim/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/uart16550/sim/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/uart16550/sim/gate_sim/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/uart16550/sim/gate_sim/CVS/Entries.Log
 delete mode 100644 usrp2/fpga/opencores/uart16550/sim/gate_sim/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/uart16550/sim/gate_sim/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/uart16550/sim/gate_sim/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/uart16550/sim/gate_sim/bin/.keepme
 delete mode 100644 usrp2/fpga/opencores/uart16550/sim/gate_sim/bin/CVS/Entries
 delete mode 100644 
usrp2/fpga/opencores/uart16550/sim/gate_sim/bin/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/uart16550/sim/gate_sim/bin/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/uart16550/sim/gate_sim/bin/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/uart16550/sim/gate_sim/log/.keepme
 delete mode 100644 usrp2/fpga/opencores/uart16550/sim/gate_sim/log/CVS/Entries
 delete mode 100644 
usrp2/fpga/opencores/uart16550/sim/gate_sim/log/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/uart16550/sim/gate_sim/log/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/uart16550/sim/gate_sim/log/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/uart16550/sim/gate_sim/out/.keepme
 delete mode 100644 usrp2/fpga/opencores/uart16550/sim/gate_sim/out/CVS/Entries
 delete mode 100644 
usrp2/fpga/opencores/uart16550/sim/gate_sim/out/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/uart16550/sim/gate_sim/out/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/uart16550/sim/gate_sim/out/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/uart16550/sim/gate_sim/run/.keepme
 delete mode 100644 usrp2/fpga/opencores/uart16550/sim/gate_sim/run/CVS/Entries
 delete mode 100644 
usrp2/fpga/opencores/uart16550/sim/gate_sim/run/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/uart16550/sim/gate_sim/run/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/uart16550/sim/gate_sim/run/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/uart16550/sim/gate_sim/src/.keepme
 delete mode 100644 usrp2/fpga/opencores/uart16550/sim/gate_sim/src/CVS/Entries
 delete mode 100644 
usrp2/fpga/opencores/uart16550/sim/gate_sim/src/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/uart16550/sim/gate_sim/src/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/uart16550/sim/gate_sim/src/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/uart16550/sim/rtl_sim/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/uart16550/sim/rtl_sim/CVS/Entries.Log
 delete mode 100644 usrp2/fpga/opencores/uart16550/sim/rtl_sim/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/uart16550/sim/rtl_sim/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/uart16550/sim/rtl_sim/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/uart16550/sim/rtl_sim/bin/CVS/Entries
 delete mode 100644 
usrp2/fpga/opencores/uart16550/sim/rtl_sim/bin/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/uart16550/sim/rtl_sim/bin/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/uart16550/sim/rtl_sim/bin/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/uart16550/sim/rtl_sim/bin/nc.scr
 delete mode 100644 usrp2/fpga/opencores/uart16550/sim/rtl_sim/bin/sim.tcl
 delete mode 100644 usrp2/fpga/opencores/uart16550/sim/rtl_sim/log/.keepme
 delete mode 100644 usrp2/fpga/opencores/uart16550/sim/rtl_sim/log/CVS/Entries
 delete mode 100644 
usrp2/fpga/opencores/uart16550/sim/rtl_sim/log/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/uart16550/sim/rtl_sim/log/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/uart16550/sim/rtl_sim/log/CVS/Template
 delete mode 100644 
usrp2/fpga/opencores/uart16550/sim/rtl_sim/log/uart_interrupts_report.log
 delete mode 100644 
usrp2/fpga/opencores/uart16550/sim/rtl_sim/log/uart_interrupts_verbose.log
 delete mode 100644 usrp2/fpga/opencores/uart16550/sim/rtl_sim/out/.keepme
 delete mode 100644 usrp2/fpga/opencores/uart16550/sim/rtl_sim/out/CVS/Entries
 delete mode 100644 
usrp2/fpga/opencores/uart16550/sim/rtl_sim/out/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/uart16550/sim/rtl_sim/out/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/uart16550/sim/rtl_sim/out/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/uart16550/sim/rtl_sim/run/CVS/Entries
 delete mode 100644 
usrp2/fpga/opencores/uart16550/sim/rtl_sim/run/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/uart16550/sim/rtl_sim/run/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/uart16550/sim/rtl_sim/run/CVS/Template
 delete mode 100755 
usrp2/fpga/opencores/uart16550/sim/rtl_sim/run/run_signalscan
 delete mode 100755 usrp2/fpga/opencores/uart16550/sim/rtl_sim/run/run_sim
 delete mode 100644 usrp2/fpga/opencores/uart16550/sim/rtl_sim/run/run_sim.scr
 delete mode 100644 usrp2/fpga/opencores/uart16550/sim/rtl_sim/src/.keepme
 delete mode 100644 usrp2/fpga/opencores/uart16550/sim/rtl_sim/src/CVS/Entries
 delete mode 100644 
usrp2/fpga/opencores/uart16550/sim/rtl_sim/src/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/uart16550/sim/rtl_sim/src/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/uart16550/sim/rtl_sim/src/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/uart16550/syn/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/uart16550/syn/CVS/Entries.Log
 delete mode 100644 usrp2/fpga/opencores/uart16550/syn/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/uart16550/syn/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/uart16550/syn/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/uart16550/syn/bin/.keepme
 delete mode 100644 usrp2/fpga/opencores/uart16550/syn/bin/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/uart16550/syn/bin/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/uart16550/syn/bin/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/uart16550/syn/bin/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/uart16550/syn/log/.keepme
 delete mode 100644 usrp2/fpga/opencores/uart16550/syn/log/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/uart16550/syn/log/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/uart16550/syn/log/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/uart16550/syn/log/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/uart16550/syn/out/.keepme
 delete mode 100644 usrp2/fpga/opencores/uart16550/syn/out/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/uart16550/syn/out/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/uart16550/syn/out/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/uart16550/syn/out/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/uart16550/syn/run/.keepme
 delete mode 100644 usrp2/fpga/opencores/uart16550/syn/run/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/uart16550/syn/run/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/uart16550/syn/run/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/uart16550/syn/run/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/uart16550/syn/src/.keepme
 delete mode 100644 usrp2/fpga/opencores/uart16550/syn/src/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/uart16550/syn/src/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/uart16550/syn/src/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/uart16550/syn/src/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/uart16550/verilog/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/uart16550/verilog/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/uart16550/verilog/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/uart16550/verilog/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/wb_conbus/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/wb_conbus/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/wb_conbus/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/wb_conbus/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/wb_conbus/bench/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/wb_conbus/bench/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/wb_conbus/bench/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/wb_conbus/bench/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/wb_conbus/bench/verilog/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/wb_conbus/bench/verilog/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/wb_conbus/bench/verilog/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/wb_conbus/bench/verilog/CVS/Template
 delete mode 100644 
usrp2/fpga/opencores/wb_conbus/bench/verilog/tb_wb_conbus_top.v
 delete mode 100644 usrp2/fpga/opencores/wb_conbus/bench/verilog/tests.v
 delete mode 100644 usrp2/fpga/opencores/wb_conbus/bench/verilog/wb_mast_model.v
 delete mode 100644 
usrp2/fpga/opencores/wb_conbus/bench/verilog/wb_model_defines.v
 delete mode 100644 usrp2/fpga/opencores/wb_conbus/bench/verilog/wb_slv_model.v
 delete mode 100644 usrp2/fpga/opencores/wb_conbus/rtl/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/wb_conbus/rtl/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/wb_conbus/rtl/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/wb_conbus/rtl/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/wb_conbus/rtl/verilog/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/wb_conbus/rtl/verilog/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/wb_conbus/rtl/verilog/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/wb_conbus/rtl/verilog/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/wb_conbus/rtl/verilog/wb_conbus_arb.v
 delete mode 100644 
usrp2/fpga/opencores/wb_conbus/rtl/verilog/wb_conbus_defines.v
 delete mode 100644 usrp2/fpga/opencores/wb_conbus/rtl/verilog/wb_conbus_top.v
 create mode 100644 usrp2/fpga/simple_gemac/eth_tasks_f36.v
 create mode 100755 usrp2/fpga/simple_gemac/simple_gemac_wrapper.build
 create mode 100644 usrp2/fpga/simple_gemac/simple_gemac_wrapper_f36_tb.v


hooks/post-receive
-- 
gnuradio.git at gnuradio.org




reply via email to

[Prev in Thread] Current Thread [Next in Thread]