commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] git://gnuradio.org/n4hy branch, master, updated. 1ae68


From: git repository hosting
Subject: [Commit-gnuradio] git://gnuradio.org/n4hy branch, master, updated. 1ae689ff9238dcffbf65881b8ca03aa8df3844aa
Date: Tue, 6 Apr 2010 18:13:24 +0100 (BST)

This is an automated email from the git hooks/post-receive script. It was
generated because a ref change was pushed to the repository containing
the project "git://gnuradio.org/n4hy".

The branch, master has been updated
       via  1ae689ff9238dcffbf65881b8ca03aa8df3844aa (commit)
       via  769b8bbe0aed088b6d1582d2d81d0966cee4c604 (commit)
       via  f322a2af4e9ac58a10e263cd6d8299e0c6547470 (commit)
       via  29971e477e79fedce0730b4ece91bae468544e5c (commit)
       via  dc56ffae0e22141e0acc3a9976c12de0cbd121a2 (commit)
       via  ac422b700282e21956dbf7643ee2dfbeeebdaf45 (commit)
       via  a34f397a4ba7e150268d40f87fede4e3663a9853 (commit)
       via  1bec5c5eaef7ff2e98f37ff60d6b61c1310e582d (commit)
       via  6de98961cf9b93c486c8c6825789de94dc79afae (commit)
       via  c11ce18def574dabf46532baba924429d421b565 (commit)
       via  b53bc1395bd2e8b1ad8a34c583d36fb4ddda7629 (commit)
       via  8c163c9cca7aa39862c8c8eb19a3e0a18c61a661 (commit)
       via  d7aa018010c828b2bedf0e3974c9d11d41088258 (commit)
       via  6a617516e0678df0c6cb94319cb5980556d49793 (commit)
       via  ec8e9c7e113046c66176498f12ec79f11d3da65e (commit)
       via  52ae8be371d71f8c0817b2a88593ff6c378b9613 (commit)
       via  c7b26f667de792209225b8244e92842b2399368c (commit)
       via  1933148ce1c78a81b1299c05d540a77b31325d92 (commit)
       via  645768d1b067fe83ccc65f4a834ee384ea4560d9 (commit)
       via  d844c4f06dc10c8499eb2b8f1cb5b55f55a5b48d (commit)
       via  18ecca1b9e57f047130a124bd8cc90cbe34feff0 (commit)
       via  cbbe4816f99ac934427823c43d2f6f3f1c5f7995 (commit)
       via  324971d366b9b194d9a6af4ddd581f434d02cb43 (commit)
       via  1ba78b5f4c6bc2468b6fb798f41837a8ab2be89b (commit)
       via  ebd5449ebf8c08f78cada720eb47769bdfaa4bde (commit)
       via  ff876f7cc310bbdf1c5d05f85daba0afdb33561a (commit)
       via  5b0fbc73d7e35b617df517a5182c00731f4c66d7 (commit)
       via  a5ee5af754abd66a7d7751868e0dcea8c8299264 (commit)
       via  901e0ad28d1f65eb10362fffd00693426db84ed4 (commit)
       via  821fab6294f69de6c4b5daf49a969a1904749c48 (commit)
       via  642252d8aa82f88a3789c31079bc7ff8538465da (commit)
       via  d3e95c965048bb7fe232f53219baff5d9d182fcc (commit)
       via  72edafee64f906f4e5db07ac66032492c6a7a617 (commit)
       via  6c3b6396bc420228215072b2fc1b83c82eaeb291 (commit)
       via  eb6ff48d9b5894d1662f361d82d2c7cd80b35218 (commit)
       via  e259d4d2eeb0b152e1e042333a8b36e07f5ad094 (commit)
       via  b811e8749dcd7c96f1af2d98caba403e50c1602b (commit)
       via  97275c32783a8831c7eac3786257fe2e142d95c0 (commit)
       via  4c296bd1f0e7769db69ca15bcc528802b06f752c (commit)
       via  bc8a3bde9b1e6c30ec5fd3d18fea299ac613f404 (commit)
       via  72c47024f4b4677f116222a0adfadeedf180122c (commit)
       via  f35a7d31f6650752dac693e3f412e5e0dcaf66fa (commit)
       via  a2c00f5cff7407ff10fc6c812d06fefe52c0b6a3 (commit)
       via  94a853ab5eaca2afc6ac7c5f33406d8299ed3bcf (commit)
       via  b532f4b7b6030665cbd03a19dd776932d31a1734 (commit)
       via  b45af8e91d37ac660f24f858a672a69952cc0e49 (commit)
       via  598924aa8be0740583d9d32f99269e1e55134b6e (commit)
       via  93c51f5c02311f89dc6eb47923b34b13038c805c (commit)
       via  752df2b84454756685dc2a05c232b59e3ebdaa2e (commit)
       via  85aad96915db5f53897f91257794c85eb67f39d4 (commit)
       via  803cb1d7974ed7d419550cc66480c107c2f0d454 (commit)
       via  db29a2cfc18554ae0a3c55a4e13dc4cbfa86317f (commit)
       via  48850cce5609941289c00fea9cd3493624bf7376 (commit)
       via  b2117ee60e60a7e7cf27c6b88d45195e70185175 (commit)
       via  5c4b47526413c6793463fc3bd1f408e21f65b132 (commit)
       via  ceeccd034f16f0e74cde8bad57f8975159b0d217 (commit)
       via  7d5e47e14126e37ad78164df448d0fcc4b04c558 (commit)
       via  8daf6e19c11184fcc8e61b00a9f17fa2ad6718fa (commit)
       via  82dd3940e79adbebe5b05edd8ee6499be017018f (commit)
       via  e566be1bb983a0f4f284081760b6f91d9986d394 (commit)
       via  b845770e33b584a88150dc97b45c7b0807791693 (commit)
       via  a33cbffaf802c5c3018596fcf592e37c978acfb6 (commit)
       via  ab207bece948af27e4772cb482ba9a7973b9565e (commit)
       via  9f966c1f5123de5a16c810c52c2895ba0db8e2dc (commit)
       via  33a573f76291a32585f85df0c8a5a9f894bd27fd (commit)
       via  f6010974b8f0af65a8b1a875587bb4a7810565ce (commit)
       via  fe3150d5e5a0bb7bf98b5f44ad0b68a107bd7f9a (commit)
       via  8e4bed09059a00767a8aa1cb9800059aecde52ab (commit)
       via  6b1bcb301ff4cb20ac62bf5400fa3001182cb069 (commit)
       via  69cbd4af0c44e71a73b6937cfc1f0b456040fe61 (commit)
       via  4640708a2cb9740c41f0e27a6ce865a85473a4a0 (commit)
       via  3bac2fa547168ca52352892e5f9db3335724682e (commit)
       via  83369a926b2b23280ac4709335b0115f4c145602 (commit)
       via  cafa42f500337c3b4b9d54b8af1c9101727267b9 (commit)
       via  8d4804c546be699a3c3088edc7de25cfee620562 (commit)
       via  9fc527b4735db31acb967ed1309b86fd76003b03 (commit)
       via  e6e29a45df8a97c80a213645968ac01fda904777 (commit)
       via  98a0c00c7a922e1c5cbce155205b4e5de725bcf7 (commit)
       via  fd6fd94644330a29ae0598c3ff1e75ddc196e806 (commit)
       via  609624f2293f6abce93cf1a8f80645108417b6c9 (commit)
       via  824aa242f143a088f04031840bc36ed54de74005 (commit)
       via  fcf9efa7b711953fae5a1b177d405ed52f2957cb (commit)
       via  18578e234cf0566ed6196f6dff3920a12a3e2479 (commit)
       via  59d62134500ea938e6ebb0c0279992e07bf59408 (commit)
       via  54c9f6400900d04cddff4926d1de9f5e0467e94d (commit)
       via  b5709abe52928f0b701cd5f5eedefc2c1665123e (commit)
       via  165f4bc50ee64b36bae8c3c0d87b366da6fddc03 (commit)
       via  cf4e5e40a77a0579f97e4306d2d51860b3b3a3f0 (commit)
       via  b484d4b751bc08e9324425eadd269e85932f7149 (commit)
       via  7fa4e9a1d1f1718991150ccbf3304c0bd1998e21 (commit)
       via  419ffcfea1c886d71cbb0eaac590aa1f5a088495 (commit)
       via  02616cf8bb70608eb7a5c0bfc2e8489ac98e8f91 (commit)
       via  19484c903f76e0e8030e5fcda1dc569c0b18ad6b (commit)
       via  0f4d752f6424b0a3d07e018f81e3869085d8c2fe (commit)
       via  a549bd11646f60d425a74d530b8f3ddfc4774202 (commit)
       via  5928825be7a4f887b27877418ad8c017863c21fc (commit)
       via  ecbbd26e4ed6181f1e49a330e9039b76b79e7264 (commit)
       via  c7c71ae076d4895c71c3f4c886a32ba6cc5906ba (commit)
       via  8a6804e1dc201fbdccebf61f7307e4cfda8fff74 (commit)
       via  bd18b8192c78bb7af4a9c3d3a6c367cde3527311 (commit)
       via  354c5c4e0520c0914732581450dad617c7841ffc (commit)
       via  dab3f51bd02999f0b076fa3a89f1d71f0c04c579 (commit)
       via  5a85f2708ce664469611b5263ac9da5f0b7b50fc (commit)
       via  829344242b60b155eed7451367ff4245d9b28999 (commit)
       via  e23bf51df863eb0f9a02e6ca6d4fcd45c847a4d5 (commit)
       via  a6462b15b639e90c6cb994a5d00a2d65acb23843 (commit)
       via  dafe4d73fec32dfa4cbc687e3b4489784c33db92 (commit)
       via  991ffe1dcc5ddacc4e2083d8494a9e92034aa70a (commit)
       via  4f03e43efdc8736c39ff6dad10052d0e31aca62f (commit)
       via  345434daf74cf642f7f7fd7ee28e51e020eadfab (commit)
       via  a3418ea4a658cefb02e28b23a5462149aa9d05c3 (commit)
       via  f6a770799b8725546044a59067f1ab9937ee3af1 (commit)
       via  a6146675c40b7a8898a819b6a9e2c09ff37775aa (commit)
       via  977b0e098fc602e61b7cb40791d53dde0adf63aa (commit)
       via  e4c8d59714eff4ef571a43f7952a9af2f3d28a98 (commit)
       via  3507e4e3d44a85db37737460aa13f86997acfbdb (commit)
       via  78809d52b0d28d4f8bb4aaecfe4115312b0e9ce5 (commit)
       via  8cc51ce7749e5c5562d208a8efaf17828295c70d (commit)
       via  47b9d7918ff888643c2ec46dd24f2150b945d963 (commit)
       via  1912d90f062a7b391d071a590b24f062f2bd3183 (commit)
       via  fc4fa0a1894f0f85be1a76e48b922effb3d5dd9b (commit)
       via  754299932325a5b6c02587cf7dcf444b3b1080ae (commit)
       via  b7d72c3551e2e1c9a5969eb2452e091991eba5a6 (commit)
       via  999cd3361eef46ab6f1d5e0730ba0ae6aded8b53 (commit)
       via  bdf985aa55ed90394f9015ac7577892dba7e5cae (commit)
       via  861d2d1b16e708b65087b86e864980415abd123f (commit)
       via  28a55d174516831f55f0089be9a3c9080496c4ec (commit)
       via  101be00674a59e347932aa586ca07f1722665964 (commit)
       via  691209a1fd00052002af42d79d7a8aff56b7df27 (commit)
       via  65269307fcdf3228a3fcd6f33d6a4be2530f1654 (commit)
       via  f939f997fa50b214dd5a60b60b7b6d8e7606b9ff (commit)
       via  9416d3c8d44b7b0e4e764a4c5ad21bec2057269a (commit)
       via  e3b1b9107725127eff1a51e5026a27367ee9d6d1 (commit)
       via  8117311ec5d89d170b38383e6510ff8455e86cac (commit)
       via  25a79d66e0fc10e1449de041e8d62f77619fe8de (commit)
       via  159582124b35f9e12e8702ffe970398829a3a3ed (commit)
       via  875c588c3b131195f3e029d1396b4531d3cc6f60 (commit)
       via  9c71282f3c42995182111493da1dfc7b1a2c05f3 (commit)
       via  dc253f4ad382bd68bf1064b7fa0a818216556595 (commit)
       via  72a8a242ea58eb624dcecf50409a199daead543f (commit)
       via  e820ce5ca1475a56ef52e7384d0cf094b0127932 (commit)
       via  61830989ce554e6dfac41bba2ced7006c424e0bc (commit)
       via  8122329533a6186f45f8cf3211c7ef9fda37bd19 (commit)
       via  87decb3b420e88bfa0d57b328b2b7404de4a61ba (commit)
       via  66610ead14a47a20f6d89d367a505e035ef04004 (commit)
       via  a90802f07bff7dd87bd6204c5b2004a946a99dbb (commit)
       via  fda964eb9b5c98093029450bee2104e723143772 (commit)
       via  7f28201b45a63735b3b710105e1c86dbe1f4e514 (commit)
       via  a903212f60d9bc4f3a5b34ae47fe54cdb2db0ce0 (commit)
       via  5a8e6229298d89ccf527a4d8894e0c654dea39d9 (commit)
       via  a4a1ada03e5da936d90a5d6e3bd31943a5ad9513 (commit)
       via  a198e5134b00a1d5b98b5447aafd3cdba8508609 (commit)
       via  0cff013580db09a2692976aa6bdb6ff1252c1072 (commit)
       via  770ee2b2b3e53f9b4d4e1d09bbf2c87b579ba4c4 (commit)
       via  7081879769829e49623adb68dc8c228082a91dda (commit)
       via  6af2c9c58cc6afcab371b769da5738c1f52fc969 (commit)
       via  2ff1da1f41d9604155cdec90a7b4aa992330e3bf (commit)
       via  a57968df0b3f85ebe1d2f0b70185f90430548a12 (commit)
       via  7331b498973b1f1fd43e6770e60ef91150c06ed4 (commit)
       via  1a9a1e15cfe63e0fb231d7be27487c0aab078fb3 (commit)
       via  cc2e4dcc71648f1c981ab236efbae7739499728f (commit)
       via  1185be47a93ba16651b3b1b18e1ba8b5941494c9 (commit)
       via  132112bf5a904746d0eda4ef26ab968f232f523b (commit)
       via  8efb3fce53717a1f219e45c78fa6472c8db24d2d (commit)
       via  34c64290e3146b50053494839847e9f894d98493 (commit)
       via  2e9af20a77f56263255c4e2395953aec8573fcb1 (commit)
       via  23bb3a5b5f8430478ab1ff7b9d394214f757deac (commit)
       via  96c92bb05fdbd2d42bb485bee46e63931d465cc3 (commit)
       via  5a0887f9138dc4dd0e8e09bb859a513bd7c971e2 (commit)
       via  821aa4341a2ad6b69cbb829bcf2d31638f67a878 (commit)
      from  baac64c9f1ff5fd5eaf9c24159d56567fd4c6fca (commit)

Those revisions listed above that are new to this repository have
not appeared on any other notification email; so we list those
revisions in full, below.

- Log -----------------------------------------------------------------
commit 1ae689ff9238dcffbf65881b8ca03aa8df3844aa
Author: Johnathan Corgan <address@hidden>
Date:   Sun Mar 21 16:17:15 2010 -0700

    Add new block gr.additive_scrambler_bb()
    
    This block performs scrambling by XORing the input sequence with
    the output of an LFSR.  Repeating this operation restores the original
    sequence.
    
    (This differs from gr.scrambler_bb(), which convolves the input sequence
    with the LFSR output.)
    
    The additive scrambler allows an optional bit count after which the LFSR
    is reset to its initial seed.  This allows use with, e.g., packetized
    fixed length payloads.

commit 769b8bbe0aed088b6d1582d2d81d0966cee4c604
Merge: f322a2af4e9ac58a10e263cd6d8299e0c6547470 
645768d1b067fe83ccc65f4a834ee384ea4560d9
Author: Johnathan Corgan <address@hidden>
Date:   Sun Mar 21 09:09:13 2010 -0700

    Merge branch 'grc/dualusrp' of git://gnuradio.org/jblum

commit f322a2af4e9ac58a10e263cd6d8299e0c6547470
Merge: 29971e477e79fedce0730b4ece91bae468544e5c 
d844c4f06dc10c8499eb2b8f1cb5b55f55a5b48d
Author: Johnathan Corgan <address@hidden>
Date:   Sun Mar 21 09:07:39 2010 -0700

    Merge branch 'grc/menu' of git://gnuradio.org/jblum

commit 29971e477e79fedce0730b4ece91bae468544e5c
Author: Juha Vierinen <address@hidden>
Date:   Sun Mar 21 08:58:22 2010 -0700

    Fix extraneous import in gr-gpio
    
    Signed-off-by: Johnathan Corgan <address@hidden>

commit dc56ffae0e22141e0acc3a9976c12de0cbd121a2
Author: Johnathan Corgan <address@hidden>
Date:   Sun Mar 21 08:40:39 2010 -0700

    Added missing header includes for memcpy

commit ac422b700282e21956dbf7643ee2dfbeeebdaf45
Merge: 1bec5c5eaef7ff2e98f37ff60d6b61c1310e582d 
a34f397a4ba7e150268d40f87fede4e3663a9853
Author: Tom Rondeau <address@hidden>
Date:   Sun Mar 14 22:55:25 2010 -0400

    Merge branch 'fftfilt'

commit a34f397a4ba7e150268d40f87fede4e3663a9853
Author: Tom Rondeau <address@hidden>
Date:   Sun Mar 14 22:53:53 2010 -0400

    Removing SSE version of FFT filter until we work out how we want to handle 
the SSE  the use of intrinics. Code for the SSE versions is still there just 
disabled.

commit 1bec5c5eaef7ff2e98f37ff60d6b61c1310e582d
Merge: 18ecca1b9e57f047130a124bd8cc90cbe34feff0 
6de98961cf9b93c486c8c6825789de94dc79afae
Author: Tom Rondeau <address@hidden>
Date:   Sun Mar 14 18:39:03 2010 -0400

    Merge branch 'digital'

commit 6de98961cf9b93c486c8c6825789de94dc79afae
Author: Tom Rondeau <address@hidden>
Date:   Sun Mar 14 18:37:23 2010 -0400

    Fixing copyright of a couple of stragglers.

commit c11ce18def574dabf46532baba924429d421b565
Author: Tom Rondeau <address@hidden>
Date:   Sun Mar 14 17:36:37 2010 -0400

    Fixing copyright dates.

commit b53bc1395bd2e8b1ad8a34c583d36fb4ddda7629
Author: Tom Rondeau <address@hidden>
Date:   Sun Mar 14 17:32:23 2010 -0400

    Making old and new digital modulations completely seperable by adding 
modulation_utils2 so only new modulator blocks work with the version 2 of 
everything. Also changed some internal names for clarity.

commit 8c163c9cca7aa39862c8c8eb19a3e0a18c61a661
Merge: 6a617516e0678df0c6cb94319cb5980556d49793 
d7aa018010c828b2bedf0e3974c9d11d41088258
Author: Tom Rondeau <address@hidden>
Date:   Sat Mar 13 20:16:46 2010 -0500

    Merge branch 'digital' of vps.gnuradio.org:trondeau into digital

commit d7aa018010c828b2bedf0e3974c9d11d41088258
Merge: 52ae8be371d71f8c0817b2a88593ff6c378b9613 
1ba78b5f4c6bc2468b6fb798f41837a8ab2be89b
Author: Tom Rondeau <address@hidden>
Date:   Sat Mar 13 20:15:37 2010 -0500

    Merge branch 'digital' of address@hidden:trondeau into digital
    
    Conflicts:
        gnuradio-examples/python/digital/benchmark_qt_rx2.py

commit 6a617516e0678df0c6cb94319cb5980556d49793
Author: Tom Rondeau <address@hidden>
Date:   Sat Mar 13 20:13:01 2010 -0500

    Fixing call to usrp_receive_path2.

commit ec8e9c7e113046c66176498f12ec79f11d3da65e
Author: Tom Rondeau <address@hidden>
Date:   Sat Mar 13 20:08:50 2010 -0500

    Adding version 2 of receive path so as not to affect old dbpsk and dqpsk 
operations.

commit 52ae8be371d71f8c0817b2a88593ff6c378b9613
Author: Tom Rondeau <address@hidden>
Date:   Sat Mar 13 20:08:02 2010 -0500

    Making a version 2 of transmit path so as not to affect old dbpsk and dqpsk 
operations.

commit c7b26f667de792209225b8244e92842b2399368c
Author: Tom Rondeau <address@hidden>
Date:   Sat Mar 13 16:23:34 2010 -0500

    Now have a FFT filter implemented in SSE and generic version that can be 
switched into FFT filter block.

commit 1933148ce1c78a81b1299c05d540a77b31325d92
Author: Tom Rondeau <address@hidden>
Date:   Thu Mar 11 22:54:50 2010 -0500

    Adding SSE version of fft filters. Complex (ccc) version working.

commit 645768d1b067fe83ccc65f4a834ee384ea4560d9
Author: Josh Blum <address@hidden>
Date:   Wed Mar 10 00:12:47 2010 -0800

    Trial feature for different source sides for usrp dual source.

commit d844c4f06dc10c8499eb2b8f1cb5b55f55a5b48d
Author: Josh Blum <address@hidden>
Date:   Tue Mar 9 12:40:43 2010 -0800

    added a right click context menu for the flow graph elements

commit 18ecca1b9e57f047130a124bd8cc90cbe34feff0
Author: Eric Blossom <address@hidden>
Date:   Mon Mar 8 17:21:43 2010 -0800

    Replace some calls to pmt constructors with mp(foo) shorthand.

commit cbbe4816f99ac934427823c43d2f6f3f1c5f7995
Author: Eric Blossom <address@hidden>
Date:   Mon Mar 8 17:08:09 2010 -0800

    Convert pmt_dict type into an immutable data structure.
    
    This change allows us to safely pass dicts in messages.

commit 324971d366b9b194d9a6af4ddd581f434d02cb43
Author: Eric Blossom <address@hidden>
Date:   Sun Mar 7 14:06:17 2010 -0800

    Add check_topology, delete d_diff_filters in dtor, cleanup init and use of
    logging output streams.

commit 1ba78b5f4c6bc2468b6fb798f41837a8ab2be89b
Author: Tom Rondeau <address@hidden>
Date:   Sat Mar 6 17:17:29 2010 -0500

    Changing FLL parameter range for use with new code.

commit ebd5449ebf8c08f78cada720eb47769bdfaa4bde
Author: Tom Rondeau <address@hidden>
Date:   Sat Mar 6 17:16:19 2010 -0500

    Small fixes for loopback with new code.

commit ff876f7cc310bbdf1c5d05f85daba0afdb33561a
Author: Tom Rondeau <address@hidden>
Date:   Sat Mar 6 17:15:33 2010 -0500

    Fixing how new pick_bitrate is used.

commit 5b0fbc73d7e35b617df517a5182c00731f4c66d7
Author: Tom Rondeau <address@hidden>
Date:   Sat Mar 6 17:12:46 2010 -0500

    Using better defaults for new FLL implementation.

commit a5ee5af754abd66a7d7751868e0dcea8c8299264
Author: Tom Rondeau <address@hidden>
Date:   Sat Mar 6 17:11:58 2010 -0500

    Improved performance of FLL using a more robust error term.

commit 901e0ad28d1f65eb10362fffd00693426db84ed4
Author: Eric Blossom <address@hidden>
Date:   Thu Mar 4 17:00:20 2010 -0800

    Add command that builds a new 'out-of-tree' project.

commit 821fab6294f69de6c4b5daf49a969a1904749c48
Author: Eric Blossom <address@hidden>
Date:   Thu Mar 4 13:55:22 2010 -0800

    doc fix (in generated file)

commit 642252d8aa82f88a3789c31079bc7ff8538465da
Author: Eric Blossom <address@hidden>
Date:   Thu Mar 4 11:59:39 2010 -0800

    doc fix

commit d3e95c965048bb7fe232f53219baff5d9d182fcc
Author: Johnathan Corgan <address@hidden>
Date:   Thu Mar 4 07:51:57 2010 -0800

    Fix distcheck failure in gr-howto-write-a-block
    
    Some of the swig include files were not getting put into the dist tarball

commit 72edafee64f906f4e5db07ac66032492c6a7a617
Merge: eb6ff48d9b5894d1662f361d82d2c7cd80b35218 
6c3b6396bc420228215072b2fc1b83c82eaeb291
Author: Eric Blossom <address@hidden>
Date:   Thu Mar 4 07:06:30 2010 -0800

    Merge branch 'howto'
    
    * howto:
      Refactor gr-howto-write-a-block such that it no longer installs into

commit 6c3b6396bc420228215072b2fc1b83c82eaeb291
Author: Eric Blossom <address@hidden>
Date:   Thu Mar 4 07:02:42 2010 -0800

    Refactor gr-howto-write-a-block such that it no longer installs into
    the gnuradio python namespace, but rather in the howto namespace.
    
    This allows it to be installed under a separate --prefix in the common
    case where the user doing the "out of tree build" is going to be
    installing their new and/or modified code somewhere other than the
    system installation directories.
    
    Any code that uses these blocks should now import them using:
    
      import howto
    
    instead of
    
      from gnuradio import howto

commit eb6ff48d9b5894d1662f361d82d2c7cd80b35218
Author: Josh Blum <address@hidden>
Date:   Wed Mar 3 16:42:50 2010 -0800

    Fixed bug in checking if path is writable.
    Some moron put quotes around the variable name...

commit e259d4d2eeb0b152e1e042333a8b36e07f5ad094
Author: Josh Blum <address@hidden>
Date:   Wed Mar 3 10:00:27 2010 -0800

    If the directory is read-only, use the systems tmp directory for generated 
py files.

commit b811e8749dcd7c96f1af2d98caba403e50c1602b
Merge: 97275c32783a8831c7eac3786257fe2e142d95c0 
4c296bd1f0e7769db69ca15bcc528802b06f752c
Author: Johnathan Corgan <address@hidden>
Date:   Tue Mar 2 09:15:09 2010 -0800

    Merge branch 'usrp2_set_ant' of git://gnuradio.org/jblum

commit 97275c32783a8831c7eac3786257fe2e142d95c0
Author: Johnathan Corgan <address@hidden>
Date:   Tue Mar 2 08:08:18 2010 -0800

    Add README for new FPGA hosting location

commit 4c296bd1f0e7769db69ca15bcc528802b06f752c
Author: Josh Blum <address@hidden>
Date:   Mon Mar 1 17:38:21 2010 -0800

    set antenna defaults to 0

commit bc8a3bde9b1e6c30ec5fd3d18fea299ac613f404
Author: Tom Rondeau <address@hidden>
Date:   Sun Feb 28 18:39:59 2010 -0500

    Adding Doxygen comments to new FFT filter blocks.

commit 72c47024f4b4677f116222a0adfadeedf180122c
Author: Tom Rondeau <address@hidden>
Date:   Sun Feb 28 17:37:48 2010 -0500

    New fft filter base class to handle complex data types. Passes make check.

commit f35a7d31f6650752dac693e3f412e5e0dcaf66fa
Author: Tom Rondeau <address@hidden>
Date:   Sun Feb 28 17:36:59 2010 -0500

    Renaming ffft filter base class to reflect its use with floats.

commit a2c00f5cff7407ff10fc6c812d06fefe52c0b6a3
Author: Johnathan Corgan <address@hidden>
Date:   Sun Feb 28 12:47:43 2010 -0800

    Remove usrp1 and usrp2 FPGA files.  These are now hosted at:
    
    git://ettus.sourcerepo.com/ettus/fpga.git
    
    ...under the 'usrp1' and 'usrp2' top-level directories.

commit 94a853ab5eaca2afc6ac7c5f33406d8299ed3bcf
Author: Tom Rondeau <address@hidden>
Date:   Sat Feb 27 21:03:46 2010 -0500

    Adding a base class to handle FFT filters for use in other blocks. Old 
fft_filter_fff now uses new class successfully and passes make check.

commit b532f4b7b6030665cbd03a19dd776932d31a1734
Author: Tom Rondeau <address@hidden>
Date:   Sat Feb 27 17:37:45 2010 -0500

    Fixing use of pick_bitrate2 in QT Rx2.

commit b45af8e91d37ac660f24f858a672a69952cc0e49
Author: Tom Rondeau <address@hidden>
Date:   Sat Feb 27 17:34:34 2010 -0500

    Fixing logging in new DBPSK and DQPSK.

commit 598924aa8be0740583d9d32f99269e1e55134b6e
Author: Tom Rondeau <address@hidden>
Date:   Fri Feb 26 10:11:39 2010 -0500

    Fixing a few bugs in handling of real samples per symbol.

commit 93c51f5c02311f89dc6eb47923b34b13038c805c
Author: Tom Rondeau <address@hidden>
Date:   Fri Feb 26 09:58:39 2010 -0500

    Fixes pick_bitrate2 for use on receiver side.

commit 752df2b84454756685dc2a05c232b59e3ebdaa2e
Author: Josh Blum <address@hidden>
Date:   Thu Feb 25 16:50:44 2010 -0800

    setting xcvr antennas through api

commit 85aad96915db5f53897f91257794c85eb67f39d4
Author: Tom Rondeau <address@hidden>
Date:   Thu Feb 25 18:50:24 2010 -0500

    Fixing pick_bitrate2 for transmit side with all cases tested.

commit 803cb1d7974ed7d419550cc66480c107c2f0d454
Author: Josh Blum <address@hidden>
Date:   Thu Feb 25 15:46:01 2010 -0800

    Added call to set antenna. Split XCVR into new thing cuz size.

commit db29a2cfc18554ae0a3c55a4e13dc4cbfa86317f
Author: Josh Blum <address@hidden>
Date:   Wed Feb 24 13:48:36 2010 -0800

    updated wiki url

commit 48850cce5609941289c00fea9cd3493624bf7376
Merge: b2117ee60e60a7e7cf27c6b88d45195e70185175 
ab207bece948af27e4772cb482ba9a7973b9565e 
5c4b47526413c6793463fc3bd1f408e21f65b132
Author: Johnathan Corgan <address@hidden>
Date:   Sun Feb 21 10:32:22 2010 -0800

    Merge branches 'wbx_usrp2' and 'wbx_usrp1' of git://gnuradio.org/jabele

commit b2117ee60e60a7e7cf27c6b88d45195e70185175
Merge: ceeccd034f16f0e74cde8bad57f8975159b0d217 
8daf6e19c11184fcc8e61b00a9f17fa2ad6718fa
Author: Matt Ettus <address@hidden>
Date:   Sat Feb 20 09:59:15 2010 -0800

    Merge branch 'db_default'

commit 5c4b47526413c6793463fc3bd1f408e21f65b132
Author: Jason Abele <address@hidden>
Date:   Fri Feb 19 15:24:56 2010 -0800

    Clarified copyright and licensing

commit ceeccd034f16f0e74cde8bad57f8975159b0d217
Author: Johnathan Corgan <address@hidden>
Date:   Thu Feb 18 11:14:14 2010 -0800

    Fix linker path in gr-pager

commit 7d5e47e14126e37ad78164df448d0fcc4b04c558
Author: Tom Rondeau <address@hidden>
Date:   Mon Feb 15 15:31:52 2010 -0800

    New pick_bitrate2 will take any combination of bitrate, samples per symbol, 
and converter rate.

commit 8daf6e19c11184fcc8e61b00a9f17fa2ad6718fa
Author: Matt Ettus <address@hidden>
Date:   Sun Feb 14 15:18:24 2010 -0800

    remove reference to nonexistant include

commit 82dd3940e79adbebe5b05edd8ee6499be017018f
Author: Matt Ettus <address@hidden>
Date:   Sun Feb 14 10:05:36 2010 -0800

    test the ability to read default eeprom values, for D. Symeonidis

commit e566be1bb983a0f4f284081760b6f91d9986d394
Merge: 8e4bed09059a00767a8aa1cb9800059aecde52ab 
a33cbffaf802c5c3018596fcf592e37c978acfb6
Author: Johnathan Corgan <address@hidden>
Date:   Thu Feb 11 08:18:46 2010 -0800

    Merge branch 'prefix' of git://gnuradio.org/jabele

commit b845770e33b584a88150dc97b45c7b0807791693
Author: Tom Rondeau <address@hidden>
Date:   Wed Feb 10 16:12:32 2010 -0800

    Working on pick_bitrate.

commit a33cbffaf802c5c3018596fcf592e37c978acfb6
Author: Jason Abele <address@hidden>
Date:   Thu Feb 4 17:38:33 2010 -0800

    Fixed creation of burn-usrp2-eeprom, burn-usrp4-eeprom
    
    Added $prefix from configure to paths

commit ab207bece948af27e4772cb482ba9a7973b9565e
Author: Jason Abele <address@hidden>
Date:   Wed Jan 20 18:53:17 2010 -0800

    First pass WBX USRP2 driver

commit 9f966c1f5123de5a16c810c52c2895ba0db8e2dc
Author: Tom Rondeau <address@hidden>
Date:   Tue Feb 9 12:27:30 2010 -0800

    Arbitrary bit rates seems to be working.

commit 33a573f76291a32585f85df0c8a5a9f894bd27fd
Author: Tom Rondeau <address@hidden>
Date:   Tue Feb 9 09:58:11 2010 -0800

    Removing resampler from usrp transmit path as its taken care of in the 
modulators.

commit f6010974b8f0af65a8b1a875587bb4a7810565ce
Author: Tom Rondeau <address@hidden>
Date:   Mon Feb 8 21:30:09 2010 -0800

    Setting up code to handle setting of samples per symbol properly. Still 
buggy in the transmitter due to the make packet padding to 512 bytes.

commit fe3150d5e5a0bb7bf98b5f44ad0b68a107bd7f9a
Author: Tom Rondeau <address@hidden>
Date:   Mon Feb 8 21:12:39 2010 -0800

    Adding a pick bitrate calculation for new tx/rx modulators with arbitrary 
samples per second to allow and real valued bitrate.

commit 8e4bed09059a00767a8aa1cb9800059aecde52ab
Author: Eric Blossom <address@hidden>
Date:   Mon Feb 8 15:13:14 2010 -0800

    Regenerate defective omnithread.pdf
    
    Thanks to Dimitris Symeonidis for pointing out the problem and solution.

commit 6b1bcb301ff4cb20ac62bf5400fa3001182cb069
Author: Eric Blossom <address@hidden>
Date:   Thu Feb 4 11:37:00 2010 -0800

    Fix pick_subdevice.
    
    Patch from Alexander Chemeris <address@hidden>

commit 69cbd4af0c44e71a73b6937cfc1f0b456040fe61
Merge: 4640708a2cb9740c41f0e27a6ce865a85473a4a0 
609624f2293f6abce93cf1a8f80645108417b6c9
Author: Tom <address@hidden>
Date:   Mon Feb 1 19:45:39 2010 -0500

    Merge branch 'master' of address@hidden:gnuradio

commit 4640708a2cb9740c41f0e27a6ce865a85473a4a0
Merge: 824aa242f143a088f04031840bc36ed54de74005 
3bac2fa547168ca52352892e5f9db3335724682e
Author: Tom <address@hidden>
Date:   Mon Feb 1 19:21:54 2010 -0500

    Merge branch 'fll'

commit 3bac2fa547168ca52352892e5f9db3335724682e
Author: Tom <address@hidden>
Date:   Mon Feb 1 19:11:03 2010 -0500

    Fixing DQPSK block to work with any real value samples per symbol and 
getting object names the same as DBPSK block.

commit 83369a926b2b23280ac4709335b0115f4c145602
Author: Tom <address@hidden>
Date:   Mon Feb 1 19:05:43 2010 -0500

    Changing Makefile so the new PAM examples are installed

commit cafa42f500337c3b4b9d54b8af1c9101727267b9
Author: Tom <address@hidden>
Date:   Mon Feb 1 18:59:56 2010 -0500

    Minor adjustments to FLL example

commit 8d4804c546be699a3c3088edc7de25cfee620562
Author: Tom <address@hidden>
Date:   Mon Feb 1 18:58:37 2010 -0500

    Simplifying and using PFB resampler to generate pusle shape filtered signal.

commit 9fc527b4735db31acb967ed1309b86fd76003b03
Author: Tom <address@hidden>
Date:   Mon Feb 1 18:55:24 2010 -0500

    Using PFB resampler to generate the pulse shaping filtered signal.

commit e6e29a45df8a97c80a213645968ac01fda904777
Author: Tom <address@hidden>
Date:   Sun Jan 31 17:10:18 2010 -0500

    Preventing an error message by casting an integer (0) to the requested 
float.

commit 98a0c00c7a922e1c5cbce155205b4e5de725bcf7
Author: Tom <address@hidden>
Date:   Sun Jan 31 17:08:03 2010 -0500

    Using PFB resampler to do the RRC filtering on the modulator. This along 
with the PFB clock recovery in the demod block allows arbitrary real numbers 
for the number of samples per symbol. We will have to chance the transmit and 
recieve path code in the examples to take advantage of this.

commit fd6fd94644330a29ae0598c3ff1e75ddc196e806
Author: Tom <address@hidden>
Date:   Sun Jan 31 17:03:36 2010 -0500

    Got this wrong before. Derivative filter taps are now calculated correctly 
which makes the rest of the code work. My previous test cases must have masked 
the problem.

commit 609624f2293f6abce93cf1a8f80645108417b6c9
Author: Philip Balister <address@hidden>
Date:   Sat Jan 30 12:52:52 2010 -0500

    Update cpu detection macro to work for native build on the OMAP3.

commit 824aa242f143a088f04031840bc36ed54de74005
Author: Eric Blossom <address@hidden>
Date:   Wed Jan 27 09:42:09 2010 -0800

    update config.guess, config.sub and INSTALL

commit fcf9efa7b711953fae5a1b177d405ed52f2957cb
Author: Josh Blum <address@hidden>
Date:   Sat Jan 23 11:53:14 2010 -0800

    grc bug fix from Dimitris Symeonidis

commit 18578e234cf0566ed6196f6dff3920a12a3e2479
Merge: 54c9f6400900d04cddff4926d1de9f5e0467e94d 
59d62134500ea938e6ebb0c0279992e07bf59408
Author: Johnathan Corgan <address@hidden>
Date:   Thu Jan 21 08:33:40 2010 -0800

    Merge branch 'fix/libdir' of address@hidden:gnuradio
    
    This fix updates the run_tests.sh script with the additional
    directories in the flattened component layout.

commit 59d62134500ea938e6ebb0c0279992e07bf59408
Author: Johnathan Corgan <address@hidden>
Date:   Tue Jan 19 13:46:38 2010 -0800

    build: trial fix for gr-pager issue on Ubuntu

commit 54c9f6400900d04cddff4926d1de9f5e0467e94d
Author: Eric Blossom <address@hidden>
Date:   Mon Jan 18 12:27:45 2010 -0800

    Remove unnecessary implementation of flexrf::refclk_freq.
    
    Apply patch from Alexander Chemeris <address@hidden>

commit b5709abe52928f0b701cd5f5eedefc2c1665123e
Author: Eric Blossom <address@hidden>
Date:   Mon Jan 18 12:23:57 2010 -0800

    Remove assert(dac_rate() == 128000000).
    
    Applied patch from Alexander Chemeris <address@hidden>
    that allows non 64MHz clocking on USRP1.

commit 165f4bc50ee64b36bae8c3c0d87b366da6fddc03
Author: Johnathan Corgan <address@hidden>
Date:   Sun Jan 17 20:18:59 2010 -0800

    usrp2: fix for 'start_rx_streaming_at' hang
    
    The start_rx_streaming_at call was not clearing the
    d_dont_enqueue flag, resulting in the receiver loop
    discarding data packets.

commit cf4e5e40a77a0579f97e4306d2d51860b3b3a3f0
Author: Tom <address@hidden>
Date:   Sun Jan 17 19:19:40 2010 -0500

    Doing the same with the resampler on the receiver side.

commit b484d4b751bc08e9324425eadd269e85932f7149
Author: Tom Rondeau <address@hidden>
Date:   Sun Jan 17 19:18:39 2010 -0500

    Playing with using the resampler to allow any bit rate requested.

commit 7fa4e9a1d1f1718991150ccbf3304c0bd1998e21
Author: Tom <address@hidden>
Date:   Sun Jan 17 18:14:08 2010 -0500

    Adding FLL correction to DQPSK2 block.

commit 419ffcfea1c886d71cbb0eaac590aa1f5a088495
Merge: 02616cf8bb70608eb7a5c0bfc2e8489ac98e8f91 
47b9d7918ff888643c2ec46dd24f2150b945d963
Author: Tom <address@hidden>
Date:   Sun Jan 17 16:48:21 2010 -0500

    Merge branch 'resampler'

commit 02616cf8bb70608eb7a5c0bfc2e8489ac98e8f91
Merge: 0f4d752f6424b0a3d07e018f81e3869085d8c2fe 
19484c903f76e0e8030e5fcda1dc569c0b18ad6b
Author: Johnathan Corgan <address@hidden>
Date:   Mon Jan 11 09:51:56 2010 -0800

    Merge branch 'fix/sample_n_hold' of git://gnuradio.org/jblum

commit 19484c903f76e0e8030e5fcda1dc569c0b18ad6b
Author: Josh Blum <address@hidden>
Date:   Mon Jan 11 07:24:16 2010 -0800

    fix bug in sample and hold wrapper for grc

commit 0f4d752f6424b0a3d07e018f81e3869085d8c2fe
Author: Josh Blum <address@hidden>
Date:   Sun Jan 10 11:35:03 2010 -0800

    Applied reverse diff for win.set_* change on ra_fftsink.py
    This file, unlike the others duplicates the fft plotter.
    Its use of win.set was correct, since that was an internal method.

commit a549bd11646f60d425a74d530b8f3ddfc4774202
Author: Josh Blum <address@hidden>
Date:   Sun Jan 10 01:03:11 2010 -0800

    fixed issue 387: removed uses of win.set for wx sinks

commit 5928825be7a4f887b27877418ad8c017863c21fc
Author: Johnathan Corgan <address@hidden>
Date:   Wed Dec 16 09:50:54 2009 -0800

    gr-noaa: work-in-progress for LRIT reception
    
    Reworked usrp_rx_lrit to get to constellation
    Added file_rx_lrit to read from capture file

commit ecbbd26e4ed6181f1e49a330e9039b76b79e7264
Merge: c7c71ae076d4895c71c3f4c886a32ba6cc5906ba 
bd18b8192c78bb7af4a9c3d3a6c367cde3527311
Author: Johnathan Corgan <address@hidden>
Date:   Fri Jan 8 18:50:02 2010 -0800

    Merge branch 'fix/waterfall' of git://gnuradio.org/jblum

commit c7c71ae076d4895c71c3f4c886a32ba6cc5906ba
Author: Eric Blossom <address@hidden>
Date:   Fri Jan 8 18:10:42 2010 -0800

    Remove trailing whitespace after \

commit 8a6804e1dc201fbdccebf61f7307e4cfda8fff74
Author: Eric Blossom <address@hidden>
Date:   Fri Jan 8 18:09:51 2010 -0800

    Remove warning related to GRC_GR_VRT

commit bd18b8192c78bb7af4a9c3d3a6c367cde3527311
Author: Josh Blum <address@hidden>
Date:   Wed Jan 6 22:08:27 2010 -0800

    Use numpy to do color table lookup.
    You can index a numpy array with another numpy array
    to get an array of looked-up values.
    I did not know that numpy could do that!

commit 354c5c4e0520c0914732581450dad617c7841ffc
Author: Jason Abele <address@hidden>
Date:   Tue Jan 5 15:12:36 2010 -0800

    Remove wbxng tx gain dependence on pga gain

commit dab3f51bd02999f0b076fa3a89f1d71f0c04c579
Author: Jason Abele <address@hidden>
Date:   Mon Jan 4 11:30:52 2010 -0800

    Added antenna select support for new board rev

commit 5a85f2708ce664469611b5263ac9da5f0b7b50fc
Author: Jason Abele <address@hidden>
Date:   Fri Nov 6 17:40:16 2009 -0800

    Fixing bad tuning range code

commit 829344242b60b155eed7451367ff4245d9b28999
Author: Jason Abele <address@hidden>
Date:   Thu Nov 5 15:42:49 2009 -0800

    WBXNG: Can not enable/disable ADF4350 from set_enable()
           Incorrect powerdown in RX deconstructor
           Expanded range of frequencies to attempt locking

commit e23bf51df863eb0f9a02e6ca6d4fcd45c847a4d5
Author: Jason Abele <address@hidden>
Date:   Thu Nov 5 14:06:30 2009 -0800

    WBXNG: power on/off TXMOD and Synth/VCO with set_enable
       No similar function on RX, so RX is always on?

commit a6462b15b639e90c6cb994a5d00a2d65acb23843
Author: Jason Abele <address@hidden>
Date:   Thu Nov 5 13:31:46 2009 -0800

    WBXNG: set ADF4350 to low spur mode

commit dafe4d73fec32dfa4cbc687e3b4489784c33db92
Author: Tom <address@hidden>
Date:   Sat Jan 2 16:47:00 2010 -0500

    Fixing up loopback benchmark program for new DBPSK receiver.

commit 991ffe1dcc5ddacc4e2083d8494a9e92034aa70a
Author: Tom <address@hidden>
Date:   Sat Jan 2 16:34:20 2010 -0500

    UIC files to go along with previous commit (for QT receiver code).

commit 4f03e43efdc8736c39ff6dad10052d0e31aca62f
Author: Tom <address@hidden>
Date:   Sat Jan 2 16:33:13 2010 -0500

    Adding a routine to exercise the new DBPSK receiver code with the QT GUI.

commit 345434daf74cf642f7f7fd7ee28e51e020eadfab
Author: Tom <address@hidden>
Date:   Sat Jan 2 16:31:35 2010 -0500

    Printing FLL gain value in verbose mode.

commit a3418ea4a658cefb02e28b23a5462149aa9d05c3
Author: Tom <address@hidden>
Date:   Sat Jan 2 16:30:57 2010 -0500

    Since I'm bothering to average the error, I might as well use it.

commit f6a770799b8725546044a59067f1ab9937ee3af1
Author: Josh Blum <address@hidden>
Date:   Sat Dec 26 22:43:29 2009 -0500

    bug fix for waterfall plotter,
    it seems that numpy choose changed

commit a6146675c40b7a8898a819b6a9e2c09ff37775aa
Author: Eric Blossom <address@hidden>
Date:   Wed Dec 23 12:38:17 2009 -0800

    update gitignores

commit 977b0e098fc602e61b7cb40791d53dde0adf63aa
Author: Tom <address@hidden>
Date:   Sun Dec 20 22:16:51 2009 -0500

    Adding FLL to QT loopback example.

commit e4c8d59714eff4ef571a43f7952a9af2f3d28a98
Author: Tom <address@hidden>
Date:   Sun Dec 20 21:57:40 2009 -0500

    Adding FLL to DBPSK demodulator block. Need OTA testing.

commit 3507e4e3d44a85db37737460aa13f86997acfbdb
Author: Tom <address@hidden>
Date:   Sun Dec 20 16:58:53 2009 -0500

    Adding some documentation.

commit 78809d52b0d28d4f8bb4aaecfe4115312b0e9ce5
Author: Tom <address@hidden>
Date:   Sun Dec 20 15:41:17 2009 -0500

    Cleaning up functions.

commit 8cc51ce7749e5c5562d208a8efaf17828295c70d
Author: Tom <address@hidden>
Date:   Sun Dec 20 15:32:23 2009 -0500

    WIP: better access to setting FLL parameters and working on getting gain 
settings better.

commit 47b9d7918ff888643c2ec46dd24f2150b945d963
Author: Tom <address@hidden>
Date:   Fri Dec 18 00:09:17 2009 -0500

    New way to handle arbitrary resampler. Now featuring lower noise!

commit 1912d90f062a7b391d071a590b24f062f2bd3183
Author: Josh Blum <address@hidden>
Date:   Thu Dec 17 17:29:45 2009 -0800

    Replaced the need for code word tables by setting the header/trailer lengths
    in the switch body jump table, just like in the unparser switch body.

commit fc4fa0a1894f0f85be1a76e48b922effb3d5dd9b
Author: Josh Blum <address@hidden>
Date:   Thu Dec 17 16:48:54 2009 -0800

    Added unparse capability to the vrt expanded header.
    Unparse can fill in a vrt header and trailer from an expanded header.

commit 754299932325a5b6c02587cf7dcf444b3b1080ae
Merge: bdf985aa55ed90394f9015ac7577892dba7e5cae 
b7d72c3551e2e1c9a5969eb2452e091991eba5a6
Author: Johnathan Corgan <address@hidden>
Date:   Thu Dec 17 16:40:09 2009 -0800

    Merge branch 'bef' of http://gnuradio.org/git/trondeau

commit b7d72c3551e2e1c9a5969eb2452e091991eba5a6
Author: Tom <address@hidden>
Date:   Tue Dec 15 23:08:42 2009 -0500

    Adding new GRC examples for using FLL block.
       digital_freq_lock - simple implementation of FLL only
       pam_sync - extension of pam_timing that does freq, phase, and timing sync

commit 999cd3361eef46ab6f1d5e0730ba0ae6aded8b53
Author: Tom <address@hidden>
Date:   Tue Dec 15 20:23:18 2009 -0500

    Generating the band-edge filter taps based on the oversampling ratio 
(samples per symbol), rolloff factor, and the prototype (matched) filter size.

commit bdf985aa55ed90394f9015ac7577892dba7e5cae
Merge: 861d2d1b16e708b65087b86e864980415abd123f 
34c64290e3146b50053494839847e9f894d98493
Author: Johnathan Corgan <address@hidden>
Date:   Sat Dec 12 09:34:35 2009 -0800

    Merge branch 'wip/pager' of address@hidden:jcorgan
    
    Merge-fix: Fix erroneous EXTRA_DIST in lib/
    Merge-fix: Don't install usrp_rx_flex.py (unfinished)

commit 861d2d1b16e708b65087b86e864980415abd123f
Merge: 65269307fcdf3228a3fcd6f33d6a4be2530f1654 
e3b1b9107725127eff1a51e5026a27367ee9d6d1
Author: Johnathan Corgan <address@hidden>
Date:   Sat Dec 12 08:00:40 2009 -0800

    Merge branch 'patches-from-list' of http://gnuradio.org/git/balister

commit 28a55d174516831f55f0089be9a3c9080496c4ec
Author: Tom <address@hidden>
Date:   Thu Dec 10 00:32:49 2009 -0500

    Adding accessor functions to set alpha and beta gains for the FLL.

commit 101be00674a59e347932aa586ca07f1722665964
Author: Tom <address@hidden>
Date:   Thu Dec 10 00:12:51 2009 -0500

    Adding alpha and beta gains to FLL constructor.

commit 691209a1fd00052002af42d79d7a8aff56b7df27
Author: Tom <address@hidden>
Date:   Wed Dec 9 23:58:17 2009 -0500

    Adding a band-edge based frequency lock loop.

commit 65269307fcdf3228a3fcd6f33d6a4be2530f1654
Author: Eric Blossom <address@hidden>
Date:   Tue Dec 8 15:07:33 2009 -0800

    Disable support for tvrx rev 2 on usrp2.  There are only a few of these in 
existence.

commit f939f997fa50b214dd5a60b60b7b6d8e7606b9ff
Author: Eric Blossom <address@hidden>
Date:   Tue Dec 8 15:01:54 2009 -0800

    Fix usrp2 tvrx so that it tunes monotonically.
    
    Use same (nasty) tactic used on usrp1.  Get "free" inversion by lying
    about real value of 2nd IF.

commit 9416d3c8d44b7b0e4e764a4c5ad21bec2057269a
Author: Eric Blossom <address@hidden>
Date:   Tue Dec 8 11:55:43 2009 -0800

    change capitalization

commit e3b1b9107725127eff1a51e5026a27367ee9d6d1
Author: Stefan Bruns <address@hidden>
Date:   Tue Dec 8 13:22:34 2009 -0500

    Small patch to speedup installation of freedesktop icons.
    
    The attached patch changes the icon installation to update the icon cache 
only
    once instead of once per icon

commit 8117311ec5d89d170b38383e6510ff8455e86cac
Author: Josh Blum <address@hidden>
Date:   Tue Dec 8 00:01:04 2009 -0500

    got msdd6000 compiling on my ubuntu 9.10 32 bit

commit 25a79d66e0fc10e1449de041e8d62f77619fe8de
Merge: 159582124b35f9e12e8702ffe970398829a3a3ed 
66610ead14a47a20f6d89d367a505e035ef04004
Author: Johnathan Corgan <address@hidden>
Date:   Mon Dec 7 22:45:56 2009 -0500

    Merge branch 'patches/geiger/u2_rx_stream_at' of address@hidden:jcorgan

commit 159582124b35f9e12e8702ffe970398829a3a3ed
Merge: 875c588c3b131195f3e029d1396b4531d3cc6f60 
a903212f60d9bc4f3a5b34ae47fe54cdb2db0ce0
Author: Johnathan Corgan <address@hidden>
Date:   Mon Dec 7 22:44:54 2009 -0500

    Merge branch 'wip/dxpsk' of http://gnuradio.org/git/jblum

commit 875c588c3b131195f3e029d1396b4531d3cc6f60
Merge: 9c71282f3c42995182111493da1dfc7b1a2c05f3 
61830989ce554e6dfac41bba2ced7006c424e0bc
Author: Johnathan Corgan <address@hidden>
Date:   Mon Dec 7 22:40:52 2009 -0500

    Merge branch 'wip/grc/cleanup' of http://gnuradio.org/git/jblum

commit 9c71282f3c42995182111493da1dfc7b1a2c05f3
Merge: dc253f4ad382bd68bf1064b7fa0a818216556595 
8122329533a6186f45f8cf3211c7ef9fda37bd19
Author: Johnathan Corgan <address@hidden>
Date:   Mon Dec 7 22:40:21 2009 -0500

    Merge branch 'wip/grc/draw' of http://gnuradio.org/git/jblum

commit dc253f4ad382bd68bf1064b7fa0a818216556595
Merge: 72a8a242ea58eb624dcecf50409a199daead543f 
a4a1ada03e5da936d90a5d6e3bd31943a5ad9513
Author: Johnathan Corgan <address@hidden>
Date:   Mon Dec 7 22:39:18 2009 -0500

    Merge branch 'wip/grc/pads' of http://gnuradio.org/git/jblum

commit 72a8a242ea58eb624dcecf50409a199daead543f
Author: Eric Blossom <address@hidden>
Date:   Mon Dec 7 18:58:07 2009 -0800

    Change type of gr_head nitems parameter to unsigned long long.
    
    Patch from Martin Braun <address@hidden> to support
    nitems >= 2**32.

commit e820ce5ca1475a56ef52e7384d0cf094b0127932
Author: Eric Blossom <address@hidden>
Date:   Mon Dec 7 18:43:17 2009 -0800

    Add support for msdd6000 with resampler in FPGA.

commit 61830989ce554e6dfac41bba2ced7006c424e0bc
Author: Josh Blum <address@hidden>
Date:   Sun Dec 6 23:18:27 2009 -0500

    removed unused import statements, thanks pyflakes

commit 8122329533a6186f45f8cf3211c7ef9fda37bd19
Author: Josh Blum <address@hidden>
Date:   Sat Dec 5 17:44:12 2009 -0500

    Created a pixmap rotation routine in Utils for handling those rotated 
labels.
    The rotation is now performed by the gtk pixbuf class and not manually in 
python.
    
    In addition, the block and port classes now draw from pixmaps and not from 
images.
    Pixmaps are server-side objects, where images are client-side (meaning: 
possible speed-up).

commit 87decb3b420e88bfa0d57b328b2b7404de4a61ba
Author: Josh Blum <address@hidden>
Date:   Sat Dec 5 11:06:08 2009 -0500

    combined param layouts

commit 66610ead14a47a20f6d89d367a505e035ef04004
Author: Johnathan Corgan <address@hidden>
Date:   Tue Oct 20 10:57:15 2009 -0700

    Use updated transmit command
    
    Now compiles cleanly again.

commit a90802f07bff7dd87bd6204c5b2004a946a99dbb
Author: Johnathan Corgan <address@hidden>
Date:   Sat Oct 17 08:36:39 2009 -0700

    Rebase on master, cleanup for merge
    
    Trailing whitespace removal
    Copyright updates

commit fda964eb9b5c98093029450bee2104e723143772
Author: Johnathan Corgan <address@hidden>
Date:   Sat Oct 17 08:25:25 2009 -0700

    Updated 'u2_rx_stream_at' to work with new_eth MAC (Douglas Geiger)

commit 7f28201b45a63735b3b710105e1c86dbe1f4e514
Author: Johnathan Corgan <address@hidden>
Date:   Thu Sep 17 10:37:27 2009 -0700

    Applied 'start streaming at' patch from Douglas Geiger

commit a903212f60d9bc4f3a5b34ae47fe54cdb2db0ce0
Author: Matt Ettus <address@hidden>
Date:   Thu Dec 3 08:27:05 2009 -0800

    added a gain slider

commit 5a8e6229298d89ccf527a4d8894e0c654dea39d9
Author: Josh Blum <address@hidden>
Date:   Thu Dec 3 16:24:50 2009 -0500

    updated tx example

commit a4a1ada03e5da936d90a5d6e3bd31943a5ad9513
Author: Josh Blum <address@hidden>
Date:   Thu Dec 3 10:42:49 2009 -0500

    Allow for multiple io pads per hier flow graph.
    Each io pad can have a different io signature.
    Uses the iosignaturev for hier implementation.
    
    Backwards compadible with exception:
    Pad blocks that used multiple ports must be replaced with multiple pad 
blocks
    as the new pad io blocks only support one port per block.

commit a198e5134b00a1d5b98b5447aafd3cdba8508609
Author: Thomas Tsou <address@hidden>
Date:   Wed Nov 4 11:47:58 2009 -0500

    usrp: Corrected error message

commit 0cff013580db09a2692976aa6bdb6ff1252c1072
Author: Matt Ettus <address@hidden>
Date:   Thu Nov 26 11:28:59 2009 -0800

    same bug as on the U2, found by Stephen Lai

commit 770ee2b2b3e53f9b4d4e1d09bbf2c87b579ba4c4
Merge: 7081879769829e49623adb68dc8c228082a91dda 
cc2e4dcc71648f1c981ab236efbae7739499728f
Author: Matt Ettus <address@hidden>
Date:   Thu Nov 26 11:20:30 2009 -0800

    Merge branch 'master' of http://gnuradio.org/git/gnuradio

commit 7081879769829e49623adb68dc8c228082a91dda
Author: Matt Ettus <address@hidden>
Date:   Thu Nov 26 11:20:00 2009 -0800

    Control bits for filter bandwidths had the wrong shifts.  Found and fixed 
by Stephen Lai of UCalgary

commit 6af2c9c58cc6afcab371b769da5738c1f52fc969
Author: Josh Blum <address@hidden>
Date:   Wed Nov 25 20:49:27 2009 -0800

    created dpsk demo with usrps

commit 2ff1da1f41d9604155cdec90a7b4aa992330e3bf
Author: Josh Blum <address@hidden>
Date:   Wed Nov 25 20:09:07 2009 -0800

    added callbacks for setting alphas

commit a57968df0b3f85ebe1d2f0b70185f90430548a12
Author: Josh Blum <address@hidden>
Date:   Wed Nov 25 19:38:36 2009 -0800

    added sync output port to dxpsk2 demod

commit 7331b498973b1f1fd43e6770e60ef91150c06ed4
Author: Josh Blum <address@hidden>
Date:   Wed Nov 25 17:55:51 2009 -0800

    added missing block wrappers

commit 1a9a1e15cfe63e0fb231d7be27487c0aab078fb3
Author: Josh Blum <address@hidden>
Date:   Wed Nov 25 16:51:24 2009 -0800

    added wrappers for the dxpsk2 blocks mod and demod

commit cc2e4dcc71648f1c981ab236efbae7739499728f
Author: Eric Blossom <address@hidden>
Date:   Wed Nov 25 10:24:01 2009 -0800

    Support multiple VRT packets in a single transport (UDP) packet.

commit 1185be47a93ba16651b3b1b18e1ba8b5941494c9
Author: Eric Blossom <address@hidden>
Date:   Wed Nov 25 09:50:32 2009 -0800

    back out broken changeset

commit 132112bf5a904746d0eda4ef26ab968f232f523b
Author: Tim Shepard <address@hidden>
Date:   Sun Nov 1 22:40:06 2009 -0500

    Add two missing includes to fix compile on ppc.

commit 8efb3fce53717a1f219e45c78fa6472c8db24d2d
Author: Josh Blum <address@hidden>
Date:   Thu Nov 19 21:58:54 2009 -0800

    gtk.Tooltips() is deprecated, use set_tooltip_text.
    Added a set_tooltop_text method to each InputParam.

commit 34c64290e3146b50053494839847e9f894d98493
Author: Johnathan Corgan <address@hidden>
Date:   Thu Oct 29 11:07:15 2009 -0700

    Added GRC block wrappers for pager blocks
    
    pager.slicer_fb
    pager.flex_sync
    pager.flex_deinterleave

commit 2e9af20a77f56263255c4e2395953aec8573fcb1
Author: Johnathan Corgan <address@hidden>
Date:   Thu Oct 29 08:10:20 2009 -0700

    Added resampler and pushed matched filter into it.

commit 23bb3a5b5f8430478ab1ff7b9d394214f757deac
Author: Johnathan Corgan <address@hidden>
Date:   Fri Oct 16 14:02:10 2009 -0700

    Work in progress, updating usrp_rx_flex.py
    
    Optimized channel bandwith filter
    Optimized matched filter

commit 96c92bb05fdbd2d42bb485bee46e63931d465cc3
Author: Johnathan Corgan <address@hidden>
Date:   Fri Oct 16 12:22:42 2009 -0700

    Directory reorganization to newer layout
    
    Top-level applications go into 'apps' (previous commit)
    C++ library build goes into 'lib'
    SWIG generation goes into 'swig'
    Pure python modules/code goes into 'python'

commit 5a0887f9138dc4dd0e8e09bb859a513bd7c971e2
Author: Johnathan Corgan <address@hidden>
Date:   Sun Oct 11 14:27:00 2009 -0700

    Work in progress, added GRC-based single channel receiver

commit 821aa4341a2ad6b69cbb829bcf2d31638f67a878
Author: Johnathan Corgan <address@hidden>
Date:   Sun Oct 11 12:47:46 2009 -0700

    Moved command-line apps into apps subdirectory

-----------------------------------------------------------------------

Summary of changes:
 config/gr_set_md_cpu.m4                            |    2 +-
 config/grc_gr_pager.m4                             |   12 +-
 configure.ac                                       |    2 +-
 docs/doxygen/other/omnithread.pdf                  |  Bin 126474 -> 44848 bytes
 gnuradio-core/src/lib/filter/Makefile.am           |    4 +
 gnuradio-core/src/lib/filter/gr_fft_filter_ccc.cc  |  143 +-
 gnuradio-core/src/lib/filter/gr_fft_filter_ccc.h   |   21 +-
 gnuradio-core/src/lib/filter/gr_fft_filter_fff.cc  |  157 +-
 gnuradio-core/src/lib/filter/gr_fft_filter_fff.h   |   22 +-
 .../src/lib/filter/gr_pfb_arb_resampler_ccf.cc     |   73 +-
 .../src/lib/filter/gr_pfb_arb_resampler_ccf.h      |   11 +-
 .../src/lib/filter/gr_pfb_arb_resampler_ccf.i      |    2 +-
 .../src/lib/filter/gr_pfb_clock_sync_ccf.cc        |   15 +-
 .../src/lib/filter/gr_pfb_clock_sync_ccf.h         |    4 +-
 .../src/lib/filter/gr_pfb_clock_sync_fff.cc        |   15 +-
 .../src/lib/filter/gr_pfb_clock_sync_fff.h         |    6 +-
 .../src/lib/filter/gri_fft_filter_ccc_generic.cc   |  167 +
 .../src/lib/filter/gri_fft_filter_ccc_generic.h    |   82 +
 .../src/lib/filter/gri_fft_filter_ccc_sse.cc       |  186 +
 .../src/lib/filter/gri_fft_filter_ccc_sse.h        |   82 +
 .../src/lib/filter/gri_fft_filter_fff_generic.cc   |  158 +
 .../src/lib/filter/gri_fft_filter_fff_generic.h    |   80 +
 .../src/lib/filter/gri_fft_filter_fff_sse.cc       |  184 +
 .../src/lib/filter/gri_fft_filter_fff_sse.h        |   81 +
 gnuradio-core/src/lib/general/Makefile.am          |    6 +
 gnuradio-core/src/lib/general/general.i            |    4 +
 .../src/lib/general/gr_additive_scrambler_bb.cc    |   65 +
 .../src/lib/general/gr_additive_scrambler_bb.h     |   67 +
 .../src/lib/general/gr_additive_scrambler_bb.i     |   31 +
 .../src/lib/general/gr_fll_band_edge_cc.cc         |  213 +
 .../src/lib/general/gr_fll_band_edge_cc.h          |  135 +
 .../src/lib/general/gr_fll_band_edge_cc.i          |   41 +
 gnuradio-core/src/lib/general/gr_head.cc           |    6 +-
 gnuradio-core/src/lib/general/gr_head.h            |   10 +-
 gnuradio-core/src/lib/general/gr_head.i            |    2 +-
 gnuradio-core/src/lib/general/gri_lfsr.h           |   12 +-
 gnuradio-core/src/python/gnuradio/Makefile.am      |    1 +
 .../src/python/gnuradio/blks2impl/Makefile.am      |    2 +-
 .../src/python/gnuradio/blks2impl/dbpsk.py         |   10 +-
 .../src/python/gnuradio/blks2impl/dbpsk2.py        |  138 +-
 .../src/python/gnuradio/blks2impl/dqpsk2.py        |  120 +-
 .../src/python/gnuradio/gr/qa_scrambler.py         |   20 +
 .../src/python/gnuradio/modulation_utils2.py       |   81 +
 gnuradio-core/src/python/gnuradio/packet_utils.py  |    2 +-
 gnuradio-examples/grc/Makefile.am                  |    6 +-
 gnuradio-examples/grc/demod/digital_freq_lock.grc  | 1321 +
 gnuradio-examples/grc/demod/pam_sync.grc           | 1744 +
 gnuradio-examples/grc/demod/pam_timing.grc         |  608 +-
 gnuradio-examples/grc/usrp/usrp_rx_dpsk.grc        |  727 +
 gnuradio-examples/grc/usrp/usrp_tx_dpsk.grc        |  583 +
 .../python/digital/benchmark_loopback.py           |    5 +
 .../python/digital/benchmark_qt_loopback2.py       |  162 +-
 .../python/digital/benchmark_qt_rx2.py             |  475 +
 gnuradio-examples/python/digital/benchmark_rx2.py  |  114 +
 gnuradio-examples/python/digital/benchmark_tx2.py  |  135 +
 gnuradio-examples/python/digital/pick_bitrate2.py  |  154 +
 .../python/digital/qt_digital_window2.py           |  178 +-
 .../python/digital/qt_digital_window2.ui           |  299 +-
 gnuradio-examples/python/digital/qt_rx_window2.py  |  179 +
 gnuradio-examples/python/digital/qt_rx_window2.ui  |  379 +
 gnuradio-examples/python/digital/receive_path.py   |    4 +-
 gnuradio-examples/python/digital/transmit_path.py  |    4 +-
 .../python/digital/usrp_receive_path.py            |   24 +-
 .../python/digital/usrp_receive_path2.py           |   96 +
 .../python/digital/usrp_transmit_path.py           |   29 +-
 .../python/digital/usrp_transmit_path2.py          |  101 +
 gr-gpio/src/python/gpio.py                         |    2 -
 gr-gpio/src/python/gpio_usrp_fft.py                |    4 +-
 gr-howto-write-a-block/INSTALL                     |  114 +-
 gr-howto-write-a-block/Makefile.common             |   16 +-
 gr-howto-write-a-block/Makefile.swig.gen.t         |   30 +-
 gr-howto-write-a-block/apps/howto_square.py        |    2 +-
 gr-howto-write-a-block/config.guess                |  239 +-
 gr-howto-write-a-block/config.sub                  |   91 +-
 gr-howto-write-a-block/grc/howto_square2_ff.xml    |    2 +-
 gr-howto-write-a-block/grc/howto_square_ff.xml     |    2 +-
 gr-howto-write-a-block/lib/Makefile.am             |   32 +-
 gr-howto-write-a-block/python/Makefile.am          |    6 +-
 gr-howto-write-a-block/swig/Makefile.am            |   11 +-
 gr-howto-write-a-block/swig/Makefile.swig.gen      |   14 +-
 gr-msdd6000/src/.gitignore                         |    2 +
 gr-msdd6000/src/Makefile.am                        |   26 +-
 gr-msdd6000/src/Makefile.swig.gen                  |  193 +-
 gr-msdd6000/src/msdd6000_rs.cc                     |  286 +
 gr-msdd6000/src/msdd6000_rs.h                      |   66 +
 gr-msdd6000/src/msdd_rs.i                          |   93 +
 gr-msdd6000/src/msdd_rs_source_simple.cc           |  237 +
 gr-msdd6000/src/msdd_rs_source_simple.h            |   87 +
 gr-msdd6000/src/python-examples/msdd_rs_spec_an.py |  350 +
 gr-msdd6000/src/python-examples/new_msdd_fft.py    |    4 +-
 gr-noaa/apps/Makefile.am                           |    2 +
 gr-noaa/apps/file_rx_lrit.grc                      | 1372 +
 gr-noaa/apps/file_rx_lrit.py                       |  337 +
 gr-noaa/apps/usrp_rx_lrit.grc                      | 1312 +-
 gr-noaa/apps/usrp_rx_lrit.py                       |  426 +-
 gr-pager/Makefile.am                               |    6 +-
 .../python/pfb => gr-pager/apps}/.gitignore        |    0
 gr-pager/apps/Makefile.am                          |   36 +
 gr-pager/{src => apps}/usrp_flex.py                |    0
 gr-pager/{src => apps}/usrp_flex_all.py            |    0
 gr-pager/{src => apps}/usrp_flex_band.py           |    0
 gr-pager/apps/usrp_rx_flex.grc                     | 1804 +
 gr-pager/apps/usrp_rx_flex.py                      |  434 +
 gr-pager/grc/.gitignore                            |    2 +
 gr-pager/grc/Makefile.am                           |   29 +
 gr-pager/grc/pager_flex_deinterleave.xml           |   23 +
 gr-pager/grc/pager_flex_sync.xml                   |   36 +
 gr-pager/grc/pager_slicer_fb.xml                   |   31 +
 gr-pager/lib/.gitignore                            |    4 +
 gr-pager/lib/Makefile.am                           |   53 +
 gr-pager/{src => lib}/Makefile.swig.gen            |    0
 gr-pager/{src => lib}/pager_flex_deinterleave.cc   |    0
 gr-pager/{src => lib}/pager_flex_deinterleave.h    |    0
 gr-pager/{src => lib}/pager_flex_frame.cc          |    0
 gr-pager/{src => lib}/pager_flex_frame.h           |    0
 gr-pager/{src => lib}/pager_flex_parse.cc          |    0
 gr-pager/{src => lib}/pager_flex_parse.h           |    0
 gr-pager/{src => lib}/pager_flex_sync.cc           |    0
 gr-pager/{src => lib}/pager_flex_sync.h            |    0
 gr-pager/{src => lib}/pager_slicer_fb.cc           |    0
 gr-pager/{src => lib}/pager_slicer_fb.h            |    0
 gr-pager/{src => lib}/pageri_bch3221.cc            |    0
 gr-pager/{src => lib}/pageri_bch3221.h             |    0
 gr-pager/{src => lib}/pageri_flex_modes.cc         |    0
 gr-pager/{src => lib}/pageri_flex_modes.h          |    0
 gr-pager/{src => lib}/pageri_util.cc               |    0
 gr-pager/{src => lib}/pageri_util.h                |    0
 .../src => gr-pager}/python/.gitignore             |    0
 gr-pager/python/Makefile.am                        |   39 +
 gr-pager/{src => python}/__init__.py               |    0
 gr-pager/{src => python}/flex_demod.py             |    0
 gr-pager/{src => python}/pager_utils.py            |    0
 gr-pager/{src => python}/qa_pager.py               |    0
 gr-pager/{src => python}/run_tests.in              |    0
 gr-pager/src/.gitignore                            |    8 -
 gr-pager/src/Makefile.am                           |  103 -
 gr-pager/src/pager_swig.i                          |   89 -
 gr-pager/swig/.gitignore                           |    6 +
 gr-pager/swig/Makefile.am                          |   60 +
 gr-pager/{src => swig}/Makefile.swig.gen           |    0
 gr-pager/swig/pager_flex_deinterleave.i            |   11 +
 gr-pager/{src => swig}/pager_flex_frame.i          |    0
 gr-pager/swig/pager_flex_parse.i                   |   11 +
 gr-pager/swig/pager_flex_sync.i                    |   11 +
 gr-pager/swig/pager_slicer_fb.i                    |   12 +
 gr-pager/swig/pager_swig.i                         |   36 +
 gr-usrp2/src/usrp2.i                               |    2 +
 gr-usrp2/src/usrp2_sink_base.cc                    |    8 +-
 gr-usrp2/src/usrp2_sink_base.h                     |    7 +-
 gr-usrp2/src/usrp2_source_base.cc                  |    6 +
 gr-usrp2/src/usrp2_source_base.h                   |    7 +-
 gr-utils/src/python/Makefile.am                    |    1 +
 .../src/python/create-gnuradio-out-of-tree-project |   69 +
 gr-utils/src/python/usrp2_fft.py                   |    4 +-
 gr-utils/src/python/usrp_fft.py                    |    6 +-
 gr-utils/src/python/usrp_oscope.py                 |    2 +-
 gr-wxgui/src/python/plotter/waterfall_plotter.py   |   21 +-
 grc/base/Block.py                                  |    2 -
 grc/base/FlowGraph.py                              |    2 -
 grc/blocks/Makefile.am                             |    4 +
 grc/blocks/blks2_dxpsk2_demod.xml                  |  145 +
 grc/blocks/blks2_dxpsk2_mod.xml                    |   95 +
 grc/blocks/blks2_dxpsk_demod.xml                   |    2 +-
 grc/blocks/blks2_dxpsk_mod.xml                     |    2 +-
 grc/blocks/block_tree.xml                          |    6 +
 grc/blocks/gr_additive_scrambler_bb.xml            |   44 +
 grc/blocks/gr_fll_band_edge_cc.xml                 |   76 +
 grc/blocks/gr_sample_and_hold_xx.xml               |    2 +-
 grc/blocks/pad_sink.xml                            |   15 +-
 grc/blocks/pad_source.xml                          |   16 +-
 grc/blocks/usrp_dual_source_x.xml                  |   36 +-
 grc/freedesktop/grc_setup_freedesktop.in           |    9 +-
 grc/grc_gnuradio/usrp/dual_usrp.py                 |   10 +-
 grc/grc_gnuradio/usrp/simple_usrp.py               |    2 +-
 grc/grc_gnuradio/wxgui/top_block_gui.py            |    1 -
 grc/gui/ActionHandler.py                           |    1 -
 grc/gui/Block.py                                   |   21 +-
 grc/gui/Dialogs.py                                 |    1 -
 grc/gui/DrawingArea.py                             |   12 +-
 grc/gui/Element.py                                 |    3 -
 grc/gui/FlowGraph.py                               |   42 +-
 grc/gui/Param.py                                   |   36 +-
 grc/gui/Port.py                                    |   13 +-
 grc/gui/Utils.py                                   |   23 +
 grc/python/Constants.py                            |    1 -
 grc/python/FlowGraph.py                            |   36 +-
 grc/python/Generator.py                            |    7 +-
 grc/python/Param.py                                |    3 +-
 grc/python/Platform.py                             |    4 +-
 grc/python/convert_hier.py                         |   14 +-
 grc/python/flow_graph.tmpl                         |   18 +-
 gruel/src/include/gruel/pmt.h                      |   21 +-
 gruel/src/lib/pmt/pmt.cc                           |  176 +-
 gruel/src/lib/pmt/pmt_int.h                        |   27 +-
 gruel/src/lib/pmt/qa_pmt_prims.cc                  |  112 +-
 run_tests.sh.in                                    |    6 +-
 usrp/firmware/src/common/build_eeprom.py           |   18 +-
 usrp/firmware/src/usrp2/Makefile.am                |    4 +-
 usrp/fpga/Makefile.am                              |    2 -
 usrp/fpga/Makefile.extra                           |  181 -
 usrp/fpga/README                                   |    3 +
 usrp/fpga/TODO                                     |   23 -
 usrp/fpga/gen_makefile_extra.py                    |   67 -
 usrp/fpga/inband_lib/chan_fifo_reader.v            |  219 -
 usrp/fpga/inband_lib/channel_demux.v               |   78 -
 usrp/fpga/inband_lib/channel_ram.v                 |  107 -
 usrp/fpga/inband_lib/cmd_reader.v                  |  305 -
 usrp/fpga/inband_lib/packet_builder.v              |  152 -
 usrp/fpga/inband_lib/register_io.v                 |   82 -
 usrp/fpga/inband_lib/rx_buffer_inband.v            |  209 -
 usrp/fpga/inband_lib/tx_buffer_inband.v            |  143 -
 usrp/fpga/inband_lib/tx_packer.v                   |  119 -
 usrp/fpga/inband_lib/usb_packet_fifo.v             |  112 -
 usrp/fpga/megacells/.gitignore                     |    1 -
 usrp/fpga/megacells/accum32.bsf                    |   86 -
 usrp/fpga/megacells/accum32.cmp                    |   31 -
 usrp/fpga/megacells/accum32.inc                    |   32 -
 usrp/fpga/megacells/accum32.v                      |  765 -
 usrp/fpga/megacells/accum32_bb.v                   |   35 -
 usrp/fpga/megacells/accum32_inst.v                 |    7 -
 usrp/fpga/megacells/add32.bsf                      |   62 -
 usrp/fpga/megacells/add32.cmp                      |   29 -
 usrp/fpga/megacells/add32.inc                      |   30 -
 usrp/fpga/megacells/add32.v                        |  221 -
 usrp/fpga/megacells/add32_bb.v                     |   31 -
 usrp/fpga/megacells/add32_inst.v                   |    5 -
 usrp/fpga/megacells/addsub16.bsf                   |   96 -
 usrp/fpga/megacells/addsub16.cmp                   |   33 -
 usrp/fpga/megacells/addsub16.inc                   |   34 -
 usrp/fpga/megacells/addsub16.v                     |  438 -
 usrp/fpga/megacells/addsub16_bb.v                  |   39 -
 usrp/fpga/megacells/addsub16_inst.v                |    9 -
 usrp/fpga/megacells/bustri.bsf                     |   62 -
 usrp/fpga/megacells/bustri.cmp                     |   29 -
 usrp/fpga/megacells/bustri.inc                     |   30 -
 usrp/fpga/megacells/bustri.v                       |   71 -
 usrp/fpga/megacells/bustri_bb.v                    |   31 -
 usrp/fpga/megacells/bustri_inst.v                  |    5 -
 usrp/fpga/megacells/clk_doubler.v                  |  198 -
 usrp/fpga/megacells/clk_doubler_bb.v               |  143 -
 usrp/fpga/megacells/dspclkpll.v                    |  237 -
 usrp/fpga/megacells/dspclkpll_bb.v                 |   31 -
 usrp/fpga/megacells/fifo_1kx16.bsf                 |  107 -
 usrp/fpga/megacells/fifo_1kx16.cmp                 |   30 -
 usrp/fpga/megacells/fifo_1kx16.inc                 |   31 -
 usrp/fpga/megacells/fifo_1kx16.v                   |  175 -
 usrp/fpga/megacells/fifo_1kx16_bb.v                |  127 -
 usrp/fpga/megacells/fifo_1kx16_inst.v              |   12 -
 usrp/fpga/megacells/fifo_2k.v                      | 3343 -
 usrp/fpga/megacells/fifo_2k_bb.v                   |  131 -
 usrp/fpga/megacells/fifo_4k.v                      | 3495 -
 usrp/fpga/megacells/fifo_4k_18.v                   |  186 -
 usrp/fpga/megacells/fifo_4k_bb.v                   |  131 -
 usrp/fpga/megacells/fifo_4kx16_dc.bsf              |  117 -
 usrp/fpga/megacells/fifo_4kx16_dc.cmp              |   31 -
 usrp/fpga/megacells/fifo_4kx16_dc.inc              |   32 -
 usrp/fpga/megacells/fifo_4kx16_dc.v                |  178 -
 usrp/fpga/megacells/fifo_4kx16_dc_bb.v             |  130 -
 usrp/fpga/megacells/fifo_4kx16_dc_inst.v           |   13 -
 usrp/fpga/megacells/mylpm_addsub.bsf               |   80 -
 usrp/fpga/megacells/mylpm_addsub.cmp               |   31 -
 usrp/fpga/megacells/mylpm_addsub.inc               |   32 -
 usrp/fpga/megacells/mylpm_addsub.v                 |  102 -
 usrp/fpga/megacells/mylpm_addsub_bb.v              |   35 -
 usrp/fpga/megacells/mylpm_addsub_inst.v            |    7 -
 usrp/fpga/megacells/pll.v                          |  207 -
 usrp/fpga/megacells/pll_bb.v                       |   29 -
 usrp/fpga/megacells/pll_inst.v                     |    4 -
 usrp/fpga/megacells/sub32.bsf                      |   87 -
 usrp/fpga/megacells/sub32.cmp                      |   32 -
 usrp/fpga/megacells/sub32.inc                      |   33 -
 usrp/fpga/megacells/sub32.v                        |  675 -
 usrp/fpga/megacells/sub32_bb.v                     |   37 -
 usrp/fpga/megacells/sub32_inst.v                   |    8 -
 usrp/fpga/models/bustri.v                          |   17 -
 usrp/fpga/models/fifo.v                            |   82 -
 usrp/fpga/models/fifo_1c_1k.v                      |   81 -
 usrp/fpga/models/fifo_1c_2k.v                      |   81 -
 usrp/fpga/models/fifo_1c_4k.v                      |   76 -
 usrp/fpga/models/fifo_1k.v                         |   24 -
 usrp/fpga/models/fifo_2k.v                         |   24 -
 usrp/fpga/models/fifo_4k.v                         |   24 -
 usrp/fpga/models/fifo_4k_18.v                      |   26 -
 usrp/fpga/models/pll.v                             |   33 -
 usrp/fpga/models/ssram.v                           |   38 -
 usrp/fpga/sdr_lib/.gitignore                       |    2 -
 usrp/fpga/sdr_lib/adc_interface.v                  |   71 -
 usrp/fpga/sdr_lib/atr_delay.v                      |   83 -
 usrp/fpga/sdr_lib/bidir_reg.v                      |   29 -
 usrp/fpga/sdr_lib/cic_dec_shifter.v                |  100 -
 usrp/fpga/sdr_lib/cic_decim.v                      |   93 -
 usrp/fpga/sdr_lib/cic_int_shifter.v                |   94 -
 usrp/fpga/sdr_lib/cic_interp.v                     |   90 -
 usrp/fpga/sdr_lib/clk_divider.v                    |   43 -
 usrp/fpga/sdr_lib/cordic.v                         |  109 -
 usrp/fpga/sdr_lib/cordic_stage.v                   |   60 -
 usrp/fpga/sdr_lib/ddc.v                            |   97 -
 usrp/fpga/sdr_lib/dpram.v                          |   47 -
 usrp/fpga/sdr_lib/duc.v                            |   95 -
 usrp/fpga/sdr_lib/ext_fifo.v                       |  126 -
 usrp/fpga/sdr_lib/gen_cordic_consts.py             |   10 -
 usrp/fpga/sdr_lib/gen_sync.v                       |   43 -
 usrp/fpga/sdr_lib/hb/acc.v                         |   22 -
 usrp/fpga/sdr_lib/hb/coeff_rom.v                   |   19 -
 usrp/fpga/sdr_lib/hb/halfband_decim.v              |  163 -
 usrp/fpga/sdr_lib/hb/halfband_interp.v             |  121 -
 usrp/fpga/sdr_lib/hb/hbd_tb/HBD                    |   80 -
 usrp/fpga/sdr_lib/hb/hbd_tb/really_golden          |  142 -
 usrp/fpga/sdr_lib/hb/hbd_tb/regression             |   95 -
 usrp/fpga/sdr_lib/hb/hbd_tb/run_hbd                |    4 -
 usrp/fpga/sdr_lib/hb/hbd_tb/test_hbd.v             |   75 -
 usrp/fpga/sdr_lib/hb/mac.v                         |   58 -
 usrp/fpga/sdr_lib/hb/mult.v                        |   16 -
 usrp/fpga/sdr_lib/hb/ram16_2port.v                 |   22 -
 usrp/fpga/sdr_lib/hb/ram16_2sum.v                  |   27 -
 usrp/fpga/sdr_lib/hb/ram32_2sum.v                  |   22 -
 usrp/fpga/sdr_lib/io_pins.v                        |   52 -
 usrp/fpga/sdr_lib/master_control.v                 |  163 -
 usrp/fpga/sdr_lib/master_control_multi.v           |   73 -
 usrp/fpga/sdr_lib/phase_acc.v                      |   52 -
 usrp/fpga/sdr_lib/ram.v                            |   16 -
 usrp/fpga/sdr_lib/ram16.v                          |   17 -
 usrp/fpga/sdr_lib/ram32.v                          |   17 -
 usrp/fpga/sdr_lib/ram64.v                          |   16 -
 usrp/fpga/sdr_lib/rssi.v                           |   30 -
 usrp/fpga/sdr_lib/rx_buffer.v                      |  237 -
 usrp/fpga/sdr_lib/rx_chain.v                       |  106 -
 usrp/fpga/sdr_lib/rx_chain_dual.v                  |  103 -
 usrp/fpga/sdr_lib/rx_dcoffset.v                    |   22 -
 usrp/fpga/sdr_lib/serial_io.v                      |  118 -
 usrp/fpga/sdr_lib/setting_reg.v                    |   23 -
 usrp/fpga/sdr_lib/setting_reg_masked.v             |   26 -
 usrp/fpga/sdr_lib/sign_extend.v                    |   35 -
 usrp/fpga/sdr_lib/strobe_gen.v                     |   46 -
 usrp/fpga/sdr_lib/tx_buffer.v                      |  170 -
 usrp/fpga/sdr_lib/tx_chain.v                       |   65 -
 usrp/fpga/sdr_lib/tx_chain_hb.v                    |   76 -
 usrp/fpga/tb/.gitignore                            |    3 -
 usrp/fpga/tb/cbus_tb.v                             |   71 -
 usrp/fpga/tb/cordic_tb.v                           |   61 -
 usrp/fpga/tb/decim_tb.v                            |  108 -
 usrp/fpga/tb/fullchip_tb.v                         |  174 -
 usrp/fpga/tb/interp_tb.v                           |  108 -
 usrp/fpga/tb/justinterp_tb.v                       |   73 -
 usrp/fpga/tb/makesine.pl                           |   14 -
 usrp/fpga/tb/run_cordic                            |    4 -
 usrp/fpga/tb/run_fullchip                          |    4 -
 usrp/fpga/tb/usrp_tasks.v                          |  145 -
 .../toplevel/include/common_config_1rxhb_1tx.vh    |   61 -
 .../fpga/toplevel/include/common_config_2rx_0tx.vh |   61 -
 .../toplevel/include/common_config_2rxhb_0tx.vh    |   61 -
 .../toplevel/include/common_config_2rxhb_2tx.vh    |   61 -
 .../fpga/toplevel/include/common_config_4rx_0tx.vh |   61 -
 usrp/fpga/toplevel/include/common_config_bottom.vh |  104 -
 usrp/fpga/toplevel/mrfm/.gitignore                 |   17 -
 usrp/fpga/toplevel/mrfm/biquad_2stage.v            |  131 -
 usrp/fpga/toplevel/mrfm/biquad_6stage.v            |  137 -
 usrp/fpga/toplevel/mrfm/mrfm.csf                   |  444 -
 usrp/fpga/toplevel/mrfm/mrfm.esf                   |   14 -
 usrp/fpga/toplevel/mrfm/mrfm.psf                   |  312 -
 usrp/fpga/toplevel/mrfm/mrfm.py                    |  129 -
 usrp/fpga/toplevel/mrfm/mrfm.qpf                   |   29 -
 usrp/fpga/toplevel/mrfm/mrfm.qsf                   |  411 -
 usrp/fpga/toplevel/mrfm/mrfm.v                     |  199 -
 usrp/fpga/toplevel/mrfm/mrfm.vh                    |   21 -
 usrp/fpga/toplevel/mrfm/mrfm_compensator.v         |   80 -
 usrp/fpga/toplevel/mrfm/mrfm_fft.py                |  319 -
 usrp/fpga/toplevel/mrfm/mrfm_proc.v                |   96 -
 usrp/fpga/toplevel/mrfm/shifter.v                  |  106 -
 usrp/fpga/toplevel/sizetest/.gitignore             |   15 -
 usrp/fpga/toplevel/sizetest/sizetest.csf           |  160 -
 usrp/fpga/toplevel/sizetest/sizetest.psf           |  228 -
 usrp/fpga/toplevel/sizetest/sizetest.quartus       |   19 -
 usrp/fpga/toplevel/sizetest/sizetest.ssf           |   14 -
 usrp/fpga/toplevel/sizetest/sizetest.v             |   39 -
 usrp/fpga/toplevel/usrp_inband_usb/.gitignore      |   16 -
 usrp/fpga/toplevel/usrp_inband_usb/config.vh       |   53 -
 .../toplevel/usrp_inband_usb/usrp_inband_usb.csf   |  444 -
 .../toplevel/usrp_inband_usb/usrp_inband_usb.esf   |   14 -
 .../toplevel/usrp_inband_usb/usrp_inband_usb.psf   |  312 -
 .../toplevel/usrp_inband_usb/usrp_inband_usb.qpf   |   29 -
 .../toplevel/usrp_inband_usb/usrp_inband_usb.qsf   |  423 -
 .../toplevel/usrp_inband_usb/usrp_inband_usb.v     |  428 -
 usrp/fpga/toplevel/usrp_multi/.gitignore           |   16 -
 usrp/fpga/toplevel/usrp_multi/config.vh            |   62 -
 usrp/fpga/toplevel/usrp_multi/usrp_multi.csf       |  444 -
 usrp/fpga/toplevel/usrp_multi/usrp_multi.esf       |   14 -
 usrp/fpga/toplevel/usrp_multi/usrp_multi.psf       |  312 -
 usrp/fpga/toplevel/usrp_multi/usrp_multi.qpf       |   29 -
 usrp/fpga/toplevel/usrp_multi/usrp_multi.qsf       |  408 -
 usrp/fpga/toplevel/usrp_multi/usrp_multi.v         |  379 -
 usrp/fpga/toplevel/usrp_std/.gitignore             |   17 -
 usrp/fpga/toplevel/usrp_std/config.vh              |   53 -
 usrp/fpga/toplevel/usrp_std/usrp_std.csf           |  444 -
 usrp/fpga/toplevel/usrp_std/usrp_std.esf           |   14 -
 usrp/fpga/toplevel/usrp_std/usrp_std.psf           |  312 -
 usrp/fpga/toplevel/usrp_std/usrp_std.qpf           |   29 -
 usrp/fpga/toplevel/usrp_std/usrp_std.qsf           |  409 -
 usrp/fpga/toplevel/usrp_std/usrp_std.v             |  333 -
 usrp/host/include/usrp/db_flexrf.h                 |    1 -
 usrp/host/lib/db_flexrf.cc                         |    6 -
 usrp/host/lib/db_wbxng.cc                          |   82 +-
 usrp/host/lib/db_wbxng_adf4350.cc                  |    9 +-
 usrp/host/lib/db_wbxng_adf4350_regs.cc             |   24 +-
 usrp/host/lib/db_wbxng_adf4350_regs.h              |   22 +-
 usrp/host/lib/db_xcvr2450.cc                       |    4 +-
 usrp/host/lib/usrp_prims_libusb0.cc                |    2 +-
 usrp/host/lib/usrp_standard.cc                     |   26 +-
 usrp2/firmware/apps/.gitignore                     |    2 +
 usrp2/firmware/apps/Makefile.am                    |    8 +
 usrp2/firmware/apps/app_common_v2.c                |   73 +-
 usrp2/firmware/apps/app_common_v2.h                |    4 +-
 usrp2/firmware/apps/factory_test.c                 |   25 +-
 usrp2/firmware/apps/mimo_tx_slave.c                |   10 +-
 usrp2/firmware/apps/serdes_txrx.c                  |   10 +-
 usrp2/firmware/apps/txrx.c                         |   61 +-
 usrp2/firmware/include/usrp2_eth_packet.h          |    6 +-
 usrp2/firmware/lib/Makefile.am                     |   85 +-
 usrp2/firmware/lib/adf4350.c                       |  209 +
 usrp2/firmware/lib/adf4350.h                       |   40 +
 usrp2/firmware/lib/adf4350_regs.c                  |  103 +
 usrp2/firmware/lib/adf4350_regs.h                  |   29 +
 usrp2/firmware/lib/db.h                            |   10 +-
 usrp2/firmware/lib/db_base.h                       |    1 +
 usrp2/firmware/lib/db_basic.c                      |    4 +
 usrp2/firmware/lib/db_dbsrx.c                      |    1 +
 usrp2/firmware/lib/db_init.c                       |   16 +-
 usrp2/firmware/lib/db_init_wbx.c                   |  404 +
 usrp2/firmware/lib/db_init_xcvr.c                  |  404 +
 usrp2/firmware/lib/db_rfx.c                        |   10 +
 usrp2/firmware/lib/db_tvrx.c                       |   35 +-
 usrp2/firmware/lib/db_wbxng.c                      |  216 +
 usrp2/firmware/lib/db_wbxng.h                      |   74 +
 usrp2/firmware/lib/db_xcvr2450.c                   |   29 +-
 usrp2/fpga/.gitignore                              |    2 -
 usrp2/fpga/README                                  |    3 +
 usrp2/fpga/boot_cpld/.gitignore                    |   38 -
 usrp2/fpga/boot_cpld/_impact.cmd                   |   34 -
 usrp2/fpga/boot_cpld/boot_cpld.ipf                 |  Bin 2967 -> 0 bytes
 usrp2/fpga/boot_cpld/boot_cpld.ise                 |  Bin 227573 -> 0 bytes
 usrp2/fpga/boot_cpld/boot_cpld.lfp                 |    5 -
 usrp2/fpga/boot_cpld/boot_cpld.ucf                 |   34 -
 usrp2/fpga/boot_cpld/boot_cpld.v                   |   95 -
 usrp2/fpga/control_lib/.gitignore                  |    5 -
 usrp2/fpga/control_lib/CRC16_D16.v                 |   89 -
 usrp2/fpga/control_lib/SYSCTRL.sav                 |   24 -
 usrp2/fpga/control_lib/WB_SIM.sav                  |   47 -
 usrp2/fpga/control_lib/atr_controller.v            |   57 -
 usrp2/fpga/control_lib/bin2gray.v                  |   10 -
 usrp2/fpga/control_lib/bootrom.mem                 |   26 -
 usrp2/fpga/control_lib/clock_bootstrap_rom.v       |   34 -
 usrp2/fpga/control_lib/clock_control.v             |  115 -
 usrp2/fpga/control_lib/clock_control_tb.sav        |   28 -
 usrp2/fpga/control_lib/clock_control_tb.v          |   35 -
 usrp2/fpga/control_lib/cmdfile                     |   18 -
 usrp2/fpga/control_lib/dcache.v                    |  165 -
 usrp2/fpga/control_lib/decoder_3_8.v               |   21 -
 usrp2/fpga/control_lib/dpram32.v                   |   82 -
 usrp2/fpga/control_lib/fifo_tb.v                   |  151 -
 usrp2/fpga/control_lib/gray2bin.v                  |   13 -
 usrp2/fpga/control_lib/gray_send.v                 |   29 -
 usrp2/fpga/control_lib/icache.v                    |  135 -
 usrp2/fpga/control_lib/longfifo.v                  |  150 -
 usrp2/fpga/control_lib/medfifo.v                   |   49 -
 usrp2/fpga/control_lib/mux4.v                      |   16 -
 usrp2/fpga/control_lib/mux8.v                      |   21 -
 usrp2/fpga/control_lib/mux_32_4.v                  |   13 -
 usrp2/fpga/control_lib/newfifo/.gitignore          |    1 -
 usrp2/fpga/control_lib/newfifo/buffer_int.v        |  168 -
 usrp2/fpga/control_lib/newfifo/buffer_int_tb.v     |  418 -
 usrp2/fpga/control_lib/newfifo/buffer_pool.v       |  283 -
 usrp2/fpga/control_lib/newfifo/buffer_pool_tb.v    |   58 -
 usrp2/fpga/control_lib/newfifo/fifo19_to_fifo36.v  |   71 -
 usrp2/fpga/control_lib/newfifo/fifo19_to_ll8.v     |   53 -
 usrp2/fpga/control_lib/newfifo/fifo36_to_fifo18.v  |   40 -
 usrp2/fpga/control_lib/newfifo/fifo36_to_fifo19.v  |   41 -
 usrp2/fpga/control_lib/newfifo/fifo36_to_ll8.v     |   60 -
 usrp2/fpga/control_lib/newfifo/fifo_2clock.v       |  117 -
 .../fpga/control_lib/newfifo/fifo_2clock_cascade.v |   35 -
 usrp2/fpga/control_lib/newfifo/fifo_cascade.v      |   52 -
 usrp2/fpga/control_lib/newfifo/fifo_long.v         |  148 -
 usrp2/fpga/control_lib/newfifo/fifo_new_tb.vcd     | 5506 -
 usrp2/fpga/control_lib/newfifo/fifo_short.v        |   95 -
 usrp2/fpga/control_lib/newfifo/fifo_spec.txt       |   36 -
 usrp2/fpga/control_lib/newfifo/fifo_tb.v           |  158 -
 usrp2/fpga/control_lib/newfifo/ll8_shortfifo.v     |   13 -
 usrp2/fpga/control_lib/newfifo/ll8_to_fifo19.v     |   77 -
 usrp2/fpga/control_lib/newfifo/ll8_to_fifo36.v     |   97 -
 usrp2/fpga/control_lib/nsgpio.v                    |  107 -
 usrp2/fpga/control_lib/oneshot_2clk.v              |   35 -
 usrp2/fpga/control_lib/pic.v                       |  183 -
 usrp2/fpga/control_lib/priority_enc.v              |   44 -
 usrp2/fpga/control_lib/ram_2port.v                 |   42 -
 usrp2/fpga/control_lib/ram_harv_cache.v            |   75 -
 usrp2/fpga/control_lib/ram_loader.v                |  225 -
 usrp2/fpga/control_lib/ram_wb_harvard.v            |   86 -
 usrp2/fpga/control_lib/reset_sync.v                |   16 -
 usrp2/fpga/control_lib/sd_spi.v                    |   70 -
 usrp2/fpga/control_lib/sd_spi_tb.v                 |   40 -
 usrp2/fpga/control_lib/sd_spi_wb.v                 |   76 -
 usrp2/fpga/control_lib/setting_reg.v               |   23 -
 usrp2/fpga/control_lib/settings_bus.v              |   49 -
 usrp2/fpga/control_lib/shortfifo.v                 |   87 -
 usrp2/fpga/control_lib/simple_uart.v               |   61 -
 usrp2/fpga/control_lib/simple_uart_rx.v            |   64 -
 usrp2/fpga/control_lib/simple_uart_tx.v            |   60 -
 usrp2/fpga/control_lib/spi.v                       |   84 -
 usrp2/fpga/control_lib/srl.v                       |   21 -
 usrp2/fpga/control_lib/ss_rcvr.v                   |   81 -
 usrp2/fpga/control_lib/system_control.v            |   47 -
 usrp2/fpga/control_lib/system_control_tb.v         |   57 -
 usrp2/fpga/control_lib/traffic_cop.v               |   25 -
 usrp2/fpga/control_lib/wb_1master.v                |  464 -
 usrp2/fpga/control_lib/wb_bridge_16_32.v           |   36 -
 usrp2/fpga/control_lib/wb_bus_writer.v             |   57 -
 usrp2/fpga/control_lib/wb_output_pins32.v          |   49 -
 usrp2/fpga/control_lib/wb_ram_block.v              |   36 -
 usrp2/fpga/control_lib/wb_ram_dist.v               |   33 -
 usrp2/fpga/control_lib/wb_readback_mux.v           |   60 -
 usrp2/fpga/control_lib/wb_regfile_2clock.v         |  107 -
 usrp2/fpga/control_lib/wb_semaphore.v              |   42 -
 usrp2/fpga/control_lib/wb_sim.v                    |   79 -
 usrp2/fpga/coregen/.gitignore                      |    3 -
 usrp2/fpga/coregen/coregen.cgp                     |   20 -
 .../fpga/coregen/fifo_generator_release_notes.txt  |  160 -
 usrp2/fpga/coregen/fifo_generator_ug175.pdf        |  Bin 1069823 -> 0 bytes
 usrp2/fpga/coregen/fifo_xlnx_16x19_2clk.ngc        |    3 -
 usrp2/fpga/coregen/fifo_xlnx_16x19_2clk.v          |  169 -
 usrp2/fpga/coregen/fifo_xlnx_16x19_2clk.veo        |   53 -
 usrp2/fpga/coregen/fifo_xlnx_16x19_2clk.xco        |   82 -
 ...o_xlnx_16x19_2clk_fifo_generator_v4_3_xst_1.lso |    3 -
 ...x19_2clk_fifo_generator_v4_3_xst_1.ngc_xst.xrpt |  103 -
 usrp2/fpga/coregen/fifo_xlnx_16x19_2clk_flist.txt  |    8 -
 usrp2/fpga/coregen/fifo_xlnx_16x19_2clk_readme.txt |   39 -
 usrp2/fpga/coregen/fifo_xlnx_16x19_2clk_xmdf.tcl   |   68 -
 usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.asy        |   49 -
 usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.ngc        |    3 -
 usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.sym        |   74 -
 usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.v          |  173 -
 usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.veo        |   53 -
 usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.vhd        |  156 -
 usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.vho        |   76 -
 usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.xco        |   82 -
 ...o_xlnx_2Kx36_2clk_fifo_generator_v4_3_xst_1.lso |    3 -
 ...x36_2clk_fifo_generator_v4_3_xst_1.ngc_xst.xrpt |  106 -
 usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk_flist.txt  |   12 -
 usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk_readme.txt |   55 -
 usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk_xmdf.tcl   |   84 -
 usrp2/fpga/coregen/fifo_xlnx_512x36_2clk.asy       |   49 -
 usrp2/fpga/coregen/fifo_xlnx_512x36_2clk.ngc       |    3 -
 usrp2/fpga/coregen/fifo_xlnx_512x36_2clk.sym       |   74 -
 usrp2/fpga/coregen/fifo_xlnx_512x36_2clk.v         |  169 -
 usrp2/fpga/coregen/fifo_xlnx_512x36_2clk.veo       |   53 -
 usrp2/fpga/coregen/fifo_xlnx_512x36_2clk.vhd       |  156 -
 usrp2/fpga/coregen/fifo_xlnx_512x36_2clk.vho       |   76 -
 usrp2/fpga/coregen/fifo_xlnx_512x36_2clk.xco       |   82 -
 ..._xlnx_512x36_2clk_fifo_generator_v4_3_xst_1.lso |    3 -
 ...x36_2clk_fifo_generator_v4_3_xst_1.ngc_xst.xrpt |  109 -
 usrp2/fpga/coregen/fifo_xlnx_512x36_2clk_flist.txt |    8 -
 .../fpga/coregen/fifo_xlnx_512x36_2clk_readme.txt  |   39 -
 usrp2/fpga/coregen/fifo_xlnx_512x36_2clk_xmdf.tcl  |   68 -
 usrp2/fpga/coregen/fifo_xlnx_64x36_2clk.ngc        |    3 -
 usrp2/fpga/coregen/fifo_xlnx_64x36_2clk.v          |  169 -
 usrp2/fpga/coregen/fifo_xlnx_64x36_2clk.veo        |   53 -
 usrp2/fpga/coregen/fifo_xlnx_64x36_2clk.xco        |   82 -
 ...o_xlnx_64x36_2clk_fifo_generator_v4_3_xst_1.lso |    3 -
 ...x36_2clk_fifo_generator_v4_3_xst_1.ngc_xst.xrpt |  104 -
 usrp2/fpga/coregen/fifo_xlnx_64x36_2clk_flist.txt  |    8 -
 usrp2/fpga/coregen/fifo_xlnx_64x36_2clk_readme.txt |   39 -
 usrp2/fpga/coregen/fifo_xlnx_64x36_2clk_xmdf.tcl   |   68 -
 usrp2/fpga/extram/.gitignore                       |    1 -
 usrp2/fpga/extram/extram_interface.v               |   53 -
 usrp2/fpga/extram/extram_wb.v                      |  146 -
 usrp2/fpga/extram/wb_zbt16_b.v                     |   63 -
 usrp2/fpga/models/BUFG.v                           |   33 -
 usrp2/fpga/models/CY7C1356C/cy1356.inp             |  140 -
 usrp2/fpga/models/CY7C1356C/cy1356.v               |  485 -
 usrp2/fpga/models/CY7C1356C/readme.txt             |   33 -
 usrp2/fpga/models/CY7C1356C/testbench.v            |  189 -
 usrp2/fpga/models/FIFO_GENERATOR_V4_3.v            | 3494 -
 usrp2/fpga/models/M24LC024B.v                      |  459 -
 usrp2/fpga/models/M24LC02B.v                       |  455 -
 usrp2/fpga/models/MULT18X18S.v                     |   20 -
 usrp2/fpga/models/RAMB16_S36_S36.v                 | 2194 -
 usrp2/fpga/models/SRL16E.v                         |   53 -
 usrp2/fpga/models/SRLC16E.v                        |   61 -
 usrp2/fpga/models/adc_model.v                      |   48 -
 usrp2/fpga/models/cpld_model.v                     |   96 -
 usrp2/fpga/models/math_real.v                      |  495 -
 usrp2/fpga/models/miim_model.v                     |   14 -
 usrp2/fpga/models/phy_sim.v                        |  113 -
 usrp2/fpga/models/serdes_model.v                   |   34 -
 usrp2/fpga/models/uart_rx.v                        |   48 -
 usrp2/fpga/models/xlnx_glbl.v                      |   29 -
 usrp2/fpga/opencores/8b10b/.gitignore              |    2 -
 usrp2/fpga/opencores/8b10b/8b10b_a.mem             |  268 -
 usrp2/fpga/opencores/8b10b/README                  |    4 -
 usrp2/fpga/opencores/8b10b/decode_8b10b.v          |  165 -
 usrp2/fpga/opencores/8b10b/encode_8b10b.v          |  120 -
 usrp2/fpga/opencores/8b10b/validate_8b10b.v        |  168 -
 usrp2/fpga/opencores/README                        |   11 -
 usrp2/fpga/opencores/aemb/CVS/.gitignore           |    1 -
 usrp2/fpga/opencores/aemb/CVS/Entries              |    4 -
 usrp2/fpga/opencores/aemb/CVS/Repository           |    1 -
 usrp2/fpga/opencores/aemb/CVS/Root                 |    1 -
 usrp2/fpga/opencores/aemb/doc/CVS/Entries          |    2 -
 usrp2/fpga/opencores/aemb/doc/CVS/Repository       |    1 -
 usrp2/fpga/opencores/aemb/doc/CVS/Root             |    1 -
 usrp2/fpga/opencores/aemb/doc/aeMB_datasheet.pdf   |  Bin 119495 -> 0 bytes
 usrp2/fpga/opencores/aemb/rtl/CVS/Entries          |    1 -
 usrp2/fpga/opencores/aemb/rtl/CVS/Repository       |    1 -
 usrp2/fpga/opencores/aemb/rtl/CVS/Root             |    1 -
 usrp2/fpga/opencores/aemb/rtl/verilog/.gitignore   |    1 -
 usrp2/fpga/opencores/aemb/rtl/verilog/CVS/Entries  |   38 -
 .../fpga/opencores/aemb/rtl/verilog/CVS/Repository |    1 -
 usrp2/fpga/opencores/aemb/rtl/verilog/CVS/Root     |    1 -
 usrp2/fpga/opencores/aemb/rtl/verilog/aeMB_bpcu.v  |  184 -
 usrp2/fpga/opencores/aemb/rtl/verilog/aeMB_core.v  |  137 -
 .../fpga/opencores/aemb/rtl/verilog/aeMB_core_BE.v |   62 -
 usrp2/fpga/opencores/aemb/rtl/verilog/aeMB_ctrl.v  |  336 -
 usrp2/fpga/opencores/aemb/rtl/verilog/aeMB_edk32.v |  289 -
 usrp2/fpga/opencores/aemb/rtl/verilog/aeMB_ibuf.v  |  192 -
 usrp2/fpga/opencores/aemb/rtl/verilog/aeMB_regf.v  |  241 -
 usrp2/fpga/opencores/aemb/rtl/verilog/aeMB_sim.v   |  312 -
 usrp2/fpga/opencores/aemb/rtl/verilog/aeMB_xecu.v  |  412 -
 usrp2/fpga/opencores/aemb/sim/.gitignore           |    4 -
 usrp2/fpga/opencores/aemb/sim/CODE_DEBUG.sav       |   16 -
 usrp2/fpga/opencores/aemb/sim/CVS/Entries          |    3 -
 usrp2/fpga/opencores/aemb/sim/CVS/Repository       |    1 -
 usrp2/fpga/opencores/aemb/sim/CVS/Root             |    1 -
 usrp2/fpga/opencores/aemb/sim/cversim              |   22 -
 usrp2/fpga/opencores/aemb/sim/iversim              |   21 -
 usrp2/fpga/opencores/aemb/sim/verilog/CVS/Entries  |    3 -
 .../fpga/opencores/aemb/sim/verilog/CVS/Repository |    1 -
 usrp2/fpga/opencores/aemb/sim/verilog/CVS/Root     |    1 -
 usrp2/fpga/opencores/aemb/sim/verilog/aemb2.v      |  242 -
 usrp2/fpga/opencores/aemb/sim/verilog/edk32.v      |  288 -
 usrp2/fpga/opencores/aemb/sw/CVS/Entries           |    2 -
 usrp2/fpga/opencores/aemb/sw/CVS/Repository        |    1 -
 usrp2/fpga/opencores/aemb/sw/CVS/Root              |    1 -
 usrp2/fpga/opencores/aemb/sw/c/CVS/Entries         |    3 -
 usrp2/fpga/opencores/aemb/sw/c/CVS/Repository      |    1 -
 usrp2/fpga/opencores/aemb/sw/c/CVS/Root            |    1 -
 usrp2/fpga/opencores/aemb/sw/c/aeMB_testbench.c    |  385 -
 usrp2/fpga/opencores/aemb/sw/c/endian-test.c       |   86 -
 usrp2/fpga/opencores/aemb/sw/c/libaemb.h           |  218 -
 usrp2/fpga/opencores/aemb/sw/gccrom                |   62 -
 usrp2/fpga/opencores/i2c/CVS/Entries               |    8 -
 usrp2/fpga/opencores/i2c/CVS/Repository            |    1 -
 usrp2/fpga/opencores/i2c/CVS/Root                  |    1 -
 usrp2/fpga/opencores/i2c/bench/CVS/Entries         |    1 -
 usrp2/fpga/opencores/i2c/bench/CVS/Repository      |    1 -
 usrp2/fpga/opencores/i2c/bench/CVS/Root            |    1 -
 usrp2/fpga/opencores/i2c/bench/verilog/CVS/Entries |    5 -
 .../opencores/i2c/bench/verilog/CVS/Repository     |    1 -
 usrp2/fpga/opencores/i2c/bench/verilog/CVS/Root    |    1 -
 .../opencores/i2c/bench/verilog/i2c_slave_model.v  |  360 -
 .../opencores/i2c/bench/verilog/spi_slave_model.v  |  128 -
 .../opencores/i2c/bench/verilog/tst_bench_top.v    |  467 -
 .../opencores/i2c/bench/verilog/wb_master_model.v  |  205 -
 usrp2/fpga/opencores/i2c/doc/CVS/Entries           |    2 -
 usrp2/fpga/opencores/i2c/doc/CVS/Repository        |    1 -
 usrp2/fpga/opencores/i2c/doc/CVS/Root              |    1 -
 usrp2/fpga/opencores/i2c/doc/i2c_specs.pdf         |  Bin 211471 -> 0 bytes
 usrp2/fpga/opencores/i2c/doc/src/CVS/Entries       |    2 -
 usrp2/fpga/opencores/i2c/doc/src/CVS/Repository    |    1 -
 usrp2/fpga/opencores/i2c/doc/src/CVS/Root          |    1 -
 usrp2/fpga/opencores/i2c/doc/src/I2C_specs.doc     |  Bin 464896 -> 0 bytes
 usrp2/fpga/opencores/i2c/documentation/CVS/Entries |    1 -
 .../opencores/i2c/documentation/CVS/Repository     |    1 -
 usrp2/fpga/opencores/i2c/documentation/CVS/Root    |    1 -
 usrp2/fpga/opencores/i2c/rtl/CVS/Entries           |    2 -
 usrp2/fpga/opencores/i2c/rtl/CVS/Repository        |    1 -
 usrp2/fpga/opencores/i2c/rtl/CVS/Root              |    1 -
 usrp2/fpga/opencores/i2c/rtl/verilog/CVS/Entries   |    6 -
 .../fpga/opencores/i2c/rtl/verilog/CVS/Repository  |    1 -
 usrp2/fpga/opencores/i2c/rtl/verilog/CVS/Root      |    1 -
 .../i2c/rtl/verilog/i2c_master_bit_ctrl.v          |  538 -
 .../i2c/rtl/verilog/i2c_master_byte_ctrl.v         |  344 -
 .../opencores/i2c/rtl/verilog/i2c_master_defines.v |   64 -
 .../opencores/i2c/rtl/verilog/i2c_master_top.v     |  301 -
 usrp2/fpga/opencores/i2c/rtl/verilog/timescale.v   |    2 -
 usrp2/fpga/opencores/i2c/rtl/vhdl/CVS/Entries      |    7 -
 usrp2/fpga/opencores/i2c/rtl/vhdl/CVS/Repository   |    1 -
 usrp2/fpga/opencores/i2c/rtl/vhdl/CVS/Root         |    1 -
 usrp2/fpga/opencores/i2c/rtl/vhdl/I2C.VHD          |  620 -
 .../opencores/i2c/rtl/vhdl/i2c_master_bit_ctrl.vhd |  495 -
 .../i2c/rtl/vhdl/i2c_master_byte_ctrl.vhd          |  370 -
 .../fpga/opencores/i2c/rtl/vhdl/i2c_master_top.vhd |  359 -
 usrp2/fpga/opencores/i2c/rtl/vhdl/readme           |   25 -
 usrp2/fpga/opencores/i2c/rtl/vhdl/tst_ds1621.vhd   |  283 -
 usrp2/fpga/opencores/i2c/sim/CVS/Entries           |    1 -
 usrp2/fpga/opencores/i2c/sim/CVS/Repository        |    1 -
 usrp2/fpga/opencores/i2c/sim/CVS/Root              |    1 -
 .../fpga/opencores/i2c/sim/i2c_verilog/CVS/Entries |    1 -
 .../opencores/i2c/sim/i2c_verilog/CVS/Repository   |    1 -
 usrp2/fpga/opencores/i2c/sim/i2c_verilog/CVS/Root  |    1 -
 .../opencores/i2c/sim/i2c_verilog/run/CVS/Entries  |    6 -
 .../i2c/sim/i2c_verilog/run/CVS/Repository         |    1 -
 .../opencores/i2c/sim/i2c_verilog/run/CVS/Root     |    1 -
 .../i2c/sim/i2c_verilog/run/INCA_libs/CVS/Entries  |    1 -
 .../sim/i2c_verilog/run/INCA_libs/CVS/Repository   |    1 -
 .../i2c/sim/i2c_verilog/run/INCA_libs/CVS/Root     |    1 -
 .../opencores/i2c/sim/i2c_verilog/run/bench.vcd    |1496812 
--------------------
 .../i2c/sim/i2c_verilog/run/ncverilog.key          |    1 -
 .../i2c/sim/i2c_verilog/run/ncverilog.log          |  118 -
 usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/run   |   25 -
 .../i2c/sim/i2c_verilog/run/waves/CVS/Entries      |    1 -
 .../i2c/sim/i2c_verilog/run/waves/CVS/Repository   |    1 -
 .../i2c/sim/i2c_verilog/run/waves/CVS/Root         |    1 -
 usrp2/fpga/opencores/i2c/software/CVS/Entries      |    2 -
 usrp2/fpga/opencores/i2c/software/CVS/Repository   |    1 -
 usrp2/fpga/opencores/i2c/software/CVS/Root         |    1 -
 .../opencores/i2c/software/drivers/CVS/Entries     |    1 -
 .../opencores/i2c/software/drivers/CVS/Repository  |    1 -
 usrp2/fpga/opencores/i2c/software/drivers/CVS/Root |    1 -
 .../opencores/i2c/software/include/CVS/Entries     |    2 -
 .../opencores/i2c/software/include/CVS/Repository  |    1 -
 usrp2/fpga/opencores/i2c/software/include/CVS/Root |    1 -
 .../opencores/i2c/software/include/oc_i2c_master.h |  102 -
 usrp2/fpga/opencores/i2c/verilog/CVS/Entries       |    1 -
 usrp2/fpga/opencores/i2c/verilog/CVS/Repository    |    1 -
 usrp2/fpga/opencores/i2c/verilog/CVS/Root          |    1 -
 usrp2/fpga/opencores/i2c/vhdl/CVS/Entries          |    1 -
 usrp2/fpga/opencores/i2c/vhdl/CVS/Repository       |    1 -
 usrp2/fpga/opencores/i2c/vhdl/CVS/Root             |    1 -
 usrp2/fpga/opencores/simple_gpio/CVS/Entries       |    1 -
 usrp2/fpga/opencores/simple_gpio/CVS/Repository    |    1 -
 usrp2/fpga/opencores/simple_gpio/CVS/Root          |    1 -
 usrp2/fpga/opencores/simple_gpio/rtl/CVS/Entries   |    2 -
 .../fpga/opencores/simple_gpio/rtl/CVS/Repository  |    1 -
 usrp2/fpga/opencores/simple_gpio/rtl/CVS/Root      |    1 -
 usrp2/fpga/opencores/simple_gpio/rtl/simple_gpio.v |  193 -
 usrp2/fpga/opencores/simple_pic/CVS/Entries        |    1 -
 usrp2/fpga/opencores/simple_pic/CVS/Repository     |    1 -
 usrp2/fpga/opencores/simple_pic/CVS/Root           |    1 -
 usrp2/fpga/opencores/simple_pic/rtl/CVS/Entries    |    2 -
 usrp2/fpga/opencores/simple_pic/rtl/CVS/Repository |    1 -
 usrp2/fpga/opencores/simple_pic/rtl/CVS/Root       |    1 -
 usrp2/fpga/opencores/simple_pic/rtl/simple_pic.v   |  228 -
 usrp2/fpga/opencores/spi/CVS/Entries               |    4 -
 usrp2/fpga/opencores/spi/CVS/Repository            |    1 -
 usrp2/fpga/opencores/spi/CVS/Root                  |    1 -
 usrp2/fpga/opencores/spi/bench/CVS/Entries         |    1 -
 usrp2/fpga/opencores/spi/bench/CVS/Repository      |    1 -
 usrp2/fpga/opencores/spi/bench/CVS/Root            |    1 -
 usrp2/fpga/opencores/spi/bench/verilog/CVS/Entries |    4 -
 .../opencores/spi/bench/verilog/CVS/Repository     |    1 -
 usrp2/fpga/opencores/spi/bench/verilog/CVS/Root    |    1 -
 .../opencores/spi/bench/verilog/spi_slave_model.v  |   73 -
 .../fpga/opencores/spi/bench/verilog/tb_spi_top.v  |  339 -
 .../opencores/spi/bench/verilog/wb_master_model.v  |  176 -
 usrp2/fpga/opencores/spi/doc/CVS/Entries           |    2 -
 usrp2/fpga/opencores/spi/doc/CVS/Repository        |    1 -
 usrp2/fpga/opencores/spi/doc/CVS/Root              |    1 -
 usrp2/fpga/opencores/spi/doc/spi.pdf               |  Bin 78741 -> 0 bytes
 usrp2/fpga/opencores/spi/doc/src/CVS/Entries       |    2 -
 usrp2/fpga/opencores/spi/doc/src/CVS/Repository    |    1 -
 usrp2/fpga/opencores/spi/doc/src/CVS/Root          |    1 -
 usrp2/fpga/opencores/spi/doc/src/spi.doc           |  Bin 231936 -> 0 bytes
 usrp2/fpga/opencores/spi/rtl/CVS/Entries           |    1 -
 usrp2/fpga/opencores/spi/rtl/CVS/Repository        |    1 -
 usrp2/fpga/opencores/spi/rtl/CVS/Root              |    1 -
 usrp2/fpga/opencores/spi/rtl/verilog/CVS/Entries   |    6 -
 .../fpga/opencores/spi/rtl/verilog/CVS/Repository  |    1 -
 usrp2/fpga/opencores/spi/rtl/verilog/CVS/Root      |    1 -
 usrp2/fpga/opencores/spi/rtl/verilog/spi_clgen.v   |  108 -
 usrp2/fpga/opencores/spi/rtl/verilog/spi_defines.v |  159 -
 usrp2/fpga/opencores/spi/rtl/verilog/spi_shift.v   |  238 -
 usrp2/fpga/opencores/spi/rtl/verilog/spi_top.v     |  287 -
 usrp2/fpga/opencores/spi/rtl/verilog/timescale.v   |    2 -
 usrp2/fpga/opencores/spi/sim/CVS/Entries           |    2 -
 usrp2/fpga/opencores/spi/sim/CVS/Repository        |    1 -
 usrp2/fpga/opencores/spi/sim/CVS/Root              |    1 -
 usrp2/fpga/opencores/spi/sim/rtl_sim/CVS/Entries   |    1 -
 .../fpga/opencores/spi/sim/rtl_sim/CVS/Repository  |    1 -
 usrp2/fpga/opencores/spi/sim/rtl_sim/CVS/Root      |    1 -
 .../fpga/opencores/spi/sim/rtl_sim/run/CVS/Entries |    4 -
 .../opencores/spi/sim/rtl_sim/run/CVS/Repository   |    1 -
 usrp2/fpga/opencores/spi/sim/rtl_sim/run/CVS/Root  |    1 -
 usrp2/fpga/opencores/spi/sim/rtl_sim/run/rtl.fl    |    3 -
 usrp2/fpga/opencores/spi/sim/rtl_sim/run/run_sim   |  108 -
 usrp2/fpga/opencores/spi/sim/rtl_sim/run/sim.fl    |    3 -
 usrp2/fpga/opencores/spi/sim/run/CVS/Entries       |    1 -
 usrp2/fpga/opencores/spi/sim/run/CVS/Repository    |    1 -
 usrp2/fpga/opencores/spi/sim/run/CVS/Root          |    1 -
 usrp2/fpga/opencores/spi_boot/COMPILE_LIST         |   33 -
 usrp2/fpga/opencores/spi_boot/COPYING              |  340 -
 usrp2/fpga/opencores/spi_boot/CVS/Entries          |    9 -
 usrp2/fpga/opencores/spi_boot/CVS/Repository       |    1 -
 usrp2/fpga/opencores/spi_boot/CVS/Root             |    1 -
 usrp2/fpga/opencores/spi_boot/KNOWN_BUGS           |    4 -
 usrp2/fpga/opencores/spi_boot/README               |  170 -
 usrp2/fpga/opencores/spi_boot/bench/CVS/Entries    |    1 -
 usrp2/fpga/opencores/spi_boot/bench/CVS/Repository |    1 -
 usrp2/fpga/opencores/spi_boot/bench/CVS/Root       |    1 -
 .../fpga/opencores/spi_boot/bench/vhdl/CVS/Entries |   13 -
 .../opencores/spi_boot/bench/vhdl/CVS/Repository   |    1 -
 usrp2/fpga/opencores/spi_boot/bench/vhdl/CVS/Root  |    1 -
 .../fpga/opencores/spi_boot/bench/vhdl/card-c.vhd  |   14 -
 usrp2/fpga/opencores/spi_boot/bench/vhdl/card.vhd  |  446 -
 usrp2/fpga/opencores/spi_boot/bench/vhdl/tb-c.vhd  |   31 -
 usrp2/fpga/opencores/spi_boot/bench/vhdl/tb.vhd    |  201 -
 .../spi_boot/bench/vhdl/tb_elem-full-c.vhd         |   23 -
 .../spi_boot/bench/vhdl/tb_elem-minimal-c.vhd      |   23 -
 .../spi_boot/bench/vhdl/tb_elem-mmc-c.vhd          |   23 -
 .../opencores/spi_boot/bench/vhdl/tb_elem-sd-c.vhd |   23 -
 .../fpga/opencores/spi_boot/bench/vhdl/tb_elem.vhd |  376 -
 .../opencores/spi_boot/bench/vhdl/tb_pack-p.vhd    |   93 -
 .../fpga/opencores/spi_boot/bench/vhdl/tb_rl-c.vhd |   27 -
 usrp2/fpga/opencores/spi_boot/bench/vhdl/tb_rl.vhd |  259 -
 usrp2/fpga/opencores/spi_boot/doc/CVS/Entries      |    3 -
 usrp2/fpga/opencores/spi_boot/doc/CVS/Repository   |    1 -
 usrp2/fpga/opencores/spi_boot/doc/CVS/Root         |    1 -
 usrp2/fpga/opencores/spi_boot/doc/spi_boot.pdf     |  Bin 113923 -> 0 bytes
 .../opencores/spi_boot/doc/spi_boot_schematic.pdf  |  Bin 87189 -> 0 bytes
 usrp2/fpga/opencores/spi_boot/doc/src/CVS/Entries  |   10 -
 .../fpga/opencores/spi_boot/doc/src/CVS/Repository |    1 -
 usrp2/fpga/opencores/spi_boot/doc/src/CVS/Root     |    1 -
 .../opencores/spi_boot/doc/src/architecture.eps    |  512 -
 .../opencores/spi_boot/doc/src/architecture.fig    |  222 -
 .../opencores/spi_boot/doc/src/initialization.eps  |  303 -
 .../opencores/spi_boot/doc/src/initialization.fig  |  119 -
 .../spi_boot/doc/src/memory_organization.eps       |  421 -
 .../spi_boot/doc/src/memory_organization.fig       |  176 -
 usrp2/fpga/opencores/spi_boot/doc/src/spi_boot.sxw |  Bin 39665 -> 0 bytes
 usrp2/fpga/opencores/spi_boot/doc/src/transfer.eps |  323 -
 usrp2/fpga/opencores/spi_boot/doc/src/transfer.fig |  119 -
 usrp2/fpga/opencores/spi_boot/rtl/CVS/Entries      |    1 -
 usrp2/fpga/opencores/spi_boot/rtl/CVS/Repository   |    1 -
 usrp2/fpga/opencores/spi_boot/rtl/CVS/Root         |    1 -
 usrp2/fpga/opencores/spi_boot/rtl/vhdl/CVS/Entries |   15 -
 .../opencores/spi_boot/rtl/vhdl/CVS/Repository     |    1 -
 usrp2/fpga/opencores/spi_boot/rtl/vhdl/CVS/Root    |    1 -
 usrp2/fpga/opencores/spi_boot/rtl/vhdl/chip-e.vhd  |   91 -
 .../opencores/spi_boot/rtl/vhdl/chip-full-a.vhd    |  164 -
 .../opencores/spi_boot/rtl/vhdl/chip-full-c.vhd    |   19 -
 .../opencores/spi_boot/rtl/vhdl/chip-minimal-a.vhd |  164 -
 .../opencores/spi_boot/rtl/vhdl/chip-minimal-c.vhd |   19 -
 .../opencores/spi_boot/rtl/vhdl/chip-mmc-a.vhd     |  164 -
 .../opencores/spi_boot/rtl/vhdl/chip-mmc-c.vhd     |   19 -
 .../fpga/opencores/spi_boot/rtl/vhdl/chip-sd-a.vhd |  164 -
 .../fpga/opencores/spi_boot/rtl/vhdl/chip-sd-c.vhd |   19 -
 .../opencores/spi_boot/rtl/vhdl/sample/CVS/Entries |    3 -
 .../spi_boot/rtl/vhdl/sample/CVS/Repository        |    1 -
 .../opencores/spi_boot/rtl/vhdl/sample/CVS/Root    |    1 -
 .../spi_boot/rtl/vhdl/sample/ram_loader-c.vhd      |   10 -
 .../spi_boot/rtl/vhdl/sample/ram_loader.vhd        |  355 -
 .../opencores/spi_boot/rtl/vhdl/spi_boot-c.vhd     |   27 -
 .../fpga/opencores/spi_boot/rtl/vhdl/spi_boot.vhd  |  979 -
 .../spi_boot/rtl/vhdl/spi_boot_pack-p.vhd          |   54 -
 .../opencores/spi_boot/rtl/vhdl/spi_counter-c.vhd  |   14 -
 .../opencores/spi_boot/rtl/vhdl/spi_counter.vhd    |  118 -
 usrp2/fpga/opencores/spi_boot/sim/CVS/Entries      |    1 -
 usrp2/fpga/opencores/spi_boot/sim/CVS/Repository   |    1 -
 usrp2/fpga/opencores/spi_boot/sim/CVS/Root         |    1 -
 .../opencores/spi_boot/sim/rtl_sim/CVS/Entries     |    2 -
 .../opencores/spi_boot/sim/rtl_sim/CVS/Repository  |    1 -
 usrp2/fpga/opencores/spi_boot/sim/rtl_sim/CVS/Root |    1 -
 usrp2/fpga/opencores/spi_boot/sim/rtl_sim/Makefile |  159 -
 usrp2/fpga/opencores/spi_boot/sw/CVS/Entries       |    1 -
 usrp2/fpga/opencores/spi_boot/sw/CVS/Repository    |    1 -
 usrp2/fpga/opencores/spi_boot/sw/CVS/Root          |    1 -
 usrp2/fpga/opencores/spi_boot/sw/misc/CVS/Entries  |    2 -
 .../fpga/opencores/spi_boot/sw/misc/CVS/Repository |    1 -
 usrp2/fpga/opencores/spi_boot/sw/misc/CVS/Root     |    1 -
 .../fpga/opencores/spi_boot/sw/misc/bit_reverse.c  |   74 -
 usrp2/fpga/opencores/wb_zbt/CVS/Entries            |    2 -
 usrp2/fpga/opencores/wb_zbt/CVS/Repository         |    1 -
 usrp2/fpga/opencores/wb_zbt/CVS/Root               |    1 -
 usrp2/fpga/opencores/wb_zbt/wb_zbt.v               |  149 -
 usrp2/fpga/sdr_lib/.gitignore                      |    3 -
 usrp2/fpga/sdr_lib/HB.sav                          |   56 -
 usrp2/fpga/sdr_lib/SMALL_HB.sav                    |   40 -
 usrp2/fpga/sdr_lib/acc.v                           |   28 -
 usrp2/fpga/sdr_lib/add2.v                          |   11 -
 usrp2/fpga/sdr_lib/add2_and_round.v                |   11 -
 usrp2/fpga/sdr_lib/add2_and_round_reg.v            |   16 -
 usrp2/fpga/sdr_lib/add2_reg.v                      |   17 -
 usrp2/fpga/sdr_lib/cic_dec_shifter.v               |  106 -
 usrp2/fpga/sdr_lib/cic_decim.v                     |   88 -
 usrp2/fpga/sdr_lib/cic_int_shifter.v               |  100 -
 usrp2/fpga/sdr_lib/cic_interp.v                    |   87 -
 usrp2/fpga/sdr_lib/cic_strober.v                   |   45 -
 usrp2/fpga/sdr_lib/clip.v                          |   36 -
 usrp2/fpga/sdr_lib/clip_and_round.v                |   43 -
 usrp2/fpga/sdr_lib/clip_and_round_reg.v            |   40 -
 usrp2/fpga/sdr_lib/clip_reg.v                      |   38 -
 usrp2/fpga/sdr_lib/cordic.v                        |  109 -
 usrp2/fpga/sdr_lib/cordic_stage.v                  |   60 -
 usrp2/fpga/sdr_lib/cordic_z24.v                    |  126 -
 usrp2/fpga/sdr_lib/ddc.v                           |   97 -
 usrp2/fpga/sdr_lib/dsp_core_rx.v                   |  179 -
 usrp2/fpga/sdr_lib/dsp_core_tx.v                   |  152 -
 usrp2/fpga/sdr_lib/duc.v                           |   95 -
 usrp2/fpga/sdr_lib/dummy_rx.v                      |   62 -
 usrp2/fpga/sdr_lib/gen_cordic_consts.py            |   10 -
 usrp2/fpga/sdr_lib/halfband_ideal.v                |   84 -
 usrp2/fpga/sdr_lib/halfband_tb.v                   |  120 -
 usrp2/fpga/sdr_lib/hb/acc.v                        |   22 -
 usrp2/fpga/sdr_lib/hb/coeff_ram.v                  |   26 -
 usrp2/fpga/sdr_lib/hb/coeff_rom.v                  |   19 -
 usrp2/fpga/sdr_lib/hb/halfband_decim.v             |  163 -
 usrp2/fpga/sdr_lib/hb/halfband_interp.v            |  121 -
 usrp2/fpga/sdr_lib/hb/hbd_tb/HBD                   |   80 -
 usrp2/fpga/sdr_lib/hb/hbd_tb/really_golden         |  142 -
 usrp2/fpga/sdr_lib/hb/hbd_tb/regression            |   95 -
 usrp2/fpga/sdr_lib/hb/hbd_tb/run_hbd               |    4 -
 usrp2/fpga/sdr_lib/hb/hbd_tb/test_hbd.v            |   75 -
 usrp2/fpga/sdr_lib/hb/mac.v                        |   58 -
 usrp2/fpga/sdr_lib/hb/mult.v                       |   16 -
 usrp2/fpga/sdr_lib/hb/ram16_2port.v                |   22 -
 usrp2/fpga/sdr_lib/hb/ram16_2sum.v                 |   27 -
 usrp2/fpga/sdr_lib/hb/ram32_2sum.v                 |   22 -
 usrp2/fpga/sdr_lib/hb_dec.v                        |  171 -
 usrp2/fpga/sdr_lib/hb_dec_tb.v                     |  140 -
 usrp2/fpga/sdr_lib/hb_interp.v                     |  157 -
 usrp2/fpga/sdr_lib/hb_interp_tb.v                  |  132 -
 usrp2/fpga/sdr_lib/hb_tb.v                         |  155 -
 usrp2/fpga/sdr_lib/input.dat                       |  341 -
 usrp2/fpga/sdr_lib/integrate.v                     |   38 -
 usrp2/fpga/sdr_lib/med_hb_int.v                    |   95 -
 usrp2/fpga/sdr_lib/output.dat                      |  130 -
 usrp2/fpga/sdr_lib/round.v                         |   33 -
 usrp2/fpga/sdr_lib/round_reg.v                     |   39 -
 usrp2/fpga/sdr_lib/rssi.v                          |   30 -
 usrp2/fpga/sdr_lib/rx_control.v                    |  180 -
 usrp2/fpga/sdr_lib/rx_dcoffset.v                   |   43 -
 usrp2/fpga/sdr_lib/rx_dcoffset_tb.v                |   25 -
 usrp2/fpga/sdr_lib/sign_extend.v                   |   35 -
 usrp2/fpga/sdr_lib/small_hb_dec.v                  |  111 -
 usrp2/fpga/sdr_lib/small_hb_dec_tb.v               |  140 -
 usrp2/fpga/sdr_lib/small_hb_int.v                  |   85 -
 usrp2/fpga/sdr_lib/small_hb_int_tb.v               |  132 -
 usrp2/fpga/sdr_lib/tx_control.v                    |  168 -
 usrp2/fpga/serdes/serdes.v                         |   63 -
 usrp2/fpga/serdes/serdes_fc_rx.v                   |   62 -
 usrp2/fpga/serdes/serdes_fc_tx.v                   |   24 -
 usrp2/fpga/serdes/serdes_rx.v                      |  292 -
 usrp2/fpga/serdes/serdes_tb.v                      |  328 -
 usrp2/fpga/serdes/serdes_tx.v                      |  186 -
 usrp2/fpga/simple_gemac/.gitignore                 |    4 -
 usrp2/fpga/simple_gemac/address_filter.v           |   35 -
 usrp2/fpga/simple_gemac/crc.v                      |   66 -
 usrp2/fpga/simple_gemac/delay_line.v               |   21 -
 usrp2/fpga/simple_gemac/eth_tasks.v                |  156 -
 usrp2/fpga/simple_gemac/eth_tasks_f36.v            |   92 -
 usrp2/fpga/simple_gemac/flow_ctrl_rx.v             |   61 -
 usrp2/fpga/simple_gemac/flow_ctrl_tx.v             |   39 -
 usrp2/fpga/simple_gemac/ll8_to_txmac.v             |   43 -
 usrp2/fpga/simple_gemac/miim/eth_clockgen.v        |  141 -
 usrp2/fpga/simple_gemac/miim/eth_miim.v            |  470 -
 usrp2/fpga/simple_gemac/miim/eth_outputcontrol.v   |  158 -
 usrp2/fpga/simple_gemac/miim/eth_shiftreg.v        |  159 -
 usrp2/fpga/simple_gemac/rxmac_to_ll8.v             |   54 -
 usrp2/fpga/simple_gemac/simple_gemac.v             |   61 -
 usrp2/fpga/simple_gemac/simple_gemac_rx.v          |  174 -
 usrp2/fpga/simple_gemac/simple_gemac_tb.v          |  200 -
 usrp2/fpga/simple_gemac/simple_gemac_tx.v          |  254 -
 usrp2/fpga/simple_gemac/simple_gemac_wb.v          |  161 -
 usrp2/fpga/simple_gemac/simple_gemac_wrapper.build |    1 -
 usrp2/fpga/simple_gemac/simple_gemac_wrapper.v     |  165 -
 .../simple_gemac/simple_gemac_wrapper_f36_tb.v     |  243 -
 usrp2/fpga/simple_gemac/simple_gemac_wrapper_tb.v  |  209 -
 usrp2/fpga/simple_gemac/test_packet.mem            |   66 -
 usrp2/fpga/testbench/.gitignore                    |    5 -
 usrp2/fpga/testbench/BOOTSTRAP.sav                 |   82 -
 usrp2/fpga/testbench/Makefile                      |   10 -
 usrp2/fpga/testbench/PAUSE.sav                     |   62 -
 usrp2/fpga/testbench/README                        |    5 -
 usrp2/fpga/testbench/SERDES.sav                    |   35 -
 usrp2/fpga/testbench/U2_SIM.sav                    |   95 -
 usrp2/fpga/testbench/cmdfile                       |   27 -
 usrp2/fpga/timing/.gitignore                       |    2 -
 usrp2/fpga/timing/time_64bit.v                     |   63 -
 usrp2/fpga/timing/time_receiver.v                  |   94 -
 usrp2/fpga/timing/time_sender.v                    |  110 -
 usrp2/fpga/timing/time_sync.v                      |  146 -
 usrp2/fpga/timing/time_transfer_tb.v               |   50 -
 usrp2/fpga/timing/timer.v                          |   40 -
 usrp2/fpga/top/.gitignore                          |    1 -
 usrp2/fpga/top/eth_test/.gitignore                 |   43 -
 usrp2/fpga/top/eth_test/eth_sim_top.v              |  437 -
 usrp2/fpga/top/eth_test/eth_tb.v                   |  257 -
 usrp2/fpga/top/single_u2_sim/single_u2_sim.v       |  324 -
 usrp2/fpga/top/tcl/ise_helper.tcl                  |   89 -
 usrp2/fpga/top/u2_core/.gitignore                  |   44 -
 usrp2/fpga/top/u2_core/u2_core.v                   |  761 -
 usrp2/fpga/top/u2_rev1/.gitignore                  |   52 -
 usrp2/fpga/top/u2_rev1/Makefile                    |  129 -
 usrp2/fpga/top/u2_rev1/u2_fpga.ise                 |  Bin 477678 -> 0 bytes
 usrp2/fpga/top/u2_rev1/u2_fpga.ucf                 |  341 -
 usrp2/fpga/top/u2_rev1/u2_fpga_top.prj             |  102 -
 usrp2/fpga/top/u2_rev1/u2_fpga_top.v               |  393 -
 usrp2/fpga/top/u2_rev2/.gitignore                  |   57 -
 usrp2/fpga/top/u2_rev2/Makefile                    |  248 -
 usrp2/fpga/top/u2_rev2/u2_rev2.ucf                 |  337 -
 usrp2/fpga/top/u2_rev2/u2_rev2.v                   |  417 -
 usrp2/fpga/top/u2_rev3/.gitignore                  |   57 -
 usrp2/fpga/top/u2_rev3/Makefile                    |  246 -
 usrp2/fpga/top/u2_rev3/u2_rev3.ucf                 |  333 -
 usrp2/fpga/top/u2_rev3/u2_rev3.v                   |  432 -
 usrp2/fpga/top/u2_rev3_2rx_iad/Makefile            |  254 -
 usrp2/fpga/top/u2_rev3_2rx_iad/README              |   32 -
 usrp2/fpga/top/u2_rev3_2rx_iad/cmdfile             |    4 -
 usrp2/fpga/top/u2_rev3_2rx_iad/dsp_core_rx.v       |  212 -
 usrp2/fpga/top/u2_rev3_2rx_iad/dsp_core_tb.sav     |  106 -
 usrp2/fpga/top/u2_rev3_2rx_iad/dsp_core_tb.v       |  233 -
 usrp2/fpga/top/u2_rev3_2rx_iad/impulse.v           |   68 -
 usrp2/fpga/top/u2_rev3_2rx_iad/u2_core.v           |  789 -
 usrp2/fpga/top/u2_rev3_2rx_iad/wave.sh             |    3 -
 usrp2/fpga/top/u2_rev3_iad/.gitignore              |    4 -
 usrp2/fpga/top/u2_rev3_iad/Makefile                |  254 -
 usrp2/fpga/top/u2_rev3_iad/cmdfile                 |    4 -
 usrp2/fpga/top/u2_rev3_iad/dsp_core_rx.v           |  158 -
 usrp2/fpga/top/u2_rev3_iad/dsp_core_tb.sav         |   61 -
 usrp2/fpga/top/u2_rev3_iad/dsp_core_tb.v           |  196 -
 usrp2/fpga/top/u2_rev3_iad/impulse.v               |   63 -
 usrp2/fpga/top/u2_rev3_iad/wave.sh                 |    3 -
 usrp2/fpga/top/u2plus/u2plus.ucf                   |  354 -
 usrp2/fpga/top/u2plus/u2plus.v                     |  377 -
 usrp2/host/apps/stdin_int32_fft.py                 |    4 +-
 usrp2/host/include/usrp2/usrp2.h                   |   69 +-
 usrp2/host/lib/control.h                           |   26 +-
 usrp2/host/lib/usrp2.cc                            |   62 +-
 usrp2/host/lib/usrp2_impl.cc                       |  344 +-
 usrp2/host/lib/usrp2_impl.h                        |   18 +-
 vrt/include/vrt/expanded_header.h                  |   15 +-
 vrt/lib/Makefile.am                                |    8 +-
 vrt/lib/copiers.cc                                 |    2 +
 vrt/lib/expanded_header.cc                         |   44 +-
 vrt/lib/expanded_header_cw_tables.h                |   14 -
 vrt/lib/expanded_header_parse_switch_body.h        |  320 +
 vrt/lib/expanded_header_switch_body.h              |  256 -
 vrt/lib/expanded_header_unparse_switch_body.h      |  272 +
 vrt/lib/gen_cw_tables.py                           |   69 -
 vrt/lib/gen_parse_switch_body.py                   |   85 +
 vrt/lib/gen_switch_body.py                         |   80 -
 vrt/lib/gen_unparse_switch_body.py                 |   79 +
 vrt/lib/rx.cc                                      |   36 +-
 1040 files changed, 19768 insertions(+), 1587556 deletions(-)
 create mode 100644 gnuradio-core/src/lib/filter/gri_fft_filter_ccc_generic.cc
 create mode 100644 gnuradio-core/src/lib/filter/gri_fft_filter_ccc_generic.h
 create mode 100644 gnuradio-core/src/lib/filter/gri_fft_filter_ccc_sse.cc
 create mode 100644 gnuradio-core/src/lib/filter/gri_fft_filter_ccc_sse.h
 create mode 100644 gnuradio-core/src/lib/filter/gri_fft_filter_fff_generic.cc
 create mode 100644 gnuradio-core/src/lib/filter/gri_fft_filter_fff_generic.h
 create mode 100644 gnuradio-core/src/lib/filter/gri_fft_filter_fff_sse.cc
 create mode 100644 gnuradio-core/src/lib/filter/gri_fft_filter_fff_sse.h
 create mode 100644 gnuradio-core/src/lib/general/gr_additive_scrambler_bb.cc
 create mode 100644 gnuradio-core/src/lib/general/gr_additive_scrambler_bb.h
 create mode 100644 gnuradio-core/src/lib/general/gr_additive_scrambler_bb.i
 create mode 100644 gnuradio-core/src/lib/general/gr_fll_band_edge_cc.cc
 create mode 100644 gnuradio-core/src/lib/general/gr_fll_band_edge_cc.h
 create mode 100644 gnuradio-core/src/lib/general/gr_fll_band_edge_cc.i
 create mode 100644 gnuradio-core/src/python/gnuradio/modulation_utils2.py
 create mode 100644 gnuradio-examples/grc/demod/digital_freq_lock.grc
 create mode 100644 gnuradio-examples/grc/demod/pam_sync.grc
 create mode 100644 gnuradio-examples/grc/usrp/usrp_rx_dpsk.grc
 create mode 100644 gnuradio-examples/grc/usrp/usrp_tx_dpsk.grc
 create mode 100755 gnuradio-examples/python/digital/benchmark_qt_rx2.py
 create mode 100755 gnuradio-examples/python/digital/benchmark_rx2.py
 create mode 100755 gnuradio-examples/python/digital/benchmark_tx2.py
 create mode 100644 gnuradio-examples/python/digital/pick_bitrate2.py
 create mode 100644 gnuradio-examples/python/digital/qt_rx_window2.py
 create mode 100644 gnuradio-examples/python/digital/qt_rx_window2.ui
 create mode 100644 gnuradio-examples/python/digital/usrp_receive_path2.py
 create mode 100644 gnuradio-examples/python/digital/usrp_transmit_path2.py
 create mode 100644 gr-msdd6000/src/msdd6000_rs.cc
 create mode 100644 gr-msdd6000/src/msdd6000_rs.h
 create mode 100644 gr-msdd6000/src/msdd_rs.i
 create mode 100644 gr-msdd6000/src/msdd_rs_source_simple.cc
 create mode 100644 gr-msdd6000/src/msdd_rs_source_simple.h
 create mode 100755 gr-msdd6000/src/python-examples/msdd_rs_spec_an.py
 create mode 100644 gr-noaa/apps/file_rx_lrit.grc
 create mode 100755 gr-noaa/apps/file_rx_lrit.py
 copy {gnuradio-examples/python/pfb => gr-pager/apps}/.gitignore (100%)
 create mode 100644 gr-pager/apps/Makefile.am
 rename gr-pager/{src => apps}/usrp_flex.py (100%)
 rename gr-pager/{src => apps}/usrp_flex_all.py (100%)
 rename gr-pager/{src => apps}/usrp_flex_band.py (100%)
 create mode 100644 gr-pager/apps/usrp_rx_flex.grc
 create mode 100755 gr-pager/apps/usrp_rx_flex.py
 create mode 100644 gr-pager/grc/.gitignore
 create mode 100644 gr-pager/grc/Makefile.am
 create mode 100644 gr-pager/grc/pager_flex_deinterleave.xml
 create mode 100644 gr-pager/grc/pager_flex_sync.xml
 create mode 100644 gr-pager/grc/pager_slicer_fb.xml
 create mode 100644 gr-pager/lib/.gitignore
 create mode 100644 gr-pager/lib/Makefile.am
 copy gr-pager/{src => lib}/Makefile.swig.gen (100%)
 rename gr-pager/{src => lib}/pager_flex_deinterleave.cc (100%)
 rename gr-pager/{src => lib}/pager_flex_deinterleave.h (100%)
 rename gr-pager/{src => lib}/pager_flex_frame.cc (100%)
 rename gr-pager/{src => lib}/pager_flex_frame.h (100%)
 rename gr-pager/{src => lib}/pager_flex_parse.cc (100%)
 rename gr-pager/{src => lib}/pager_flex_parse.h (100%)
 rename gr-pager/{src => lib}/pager_flex_sync.cc (100%)
 rename gr-pager/{src => lib}/pager_flex_sync.h (100%)
 rename gr-pager/{src => lib}/pager_slicer_fb.cc (100%)
 rename gr-pager/{src => lib}/pager_slicer_fb.h (100%)
 rename gr-pager/{src => lib}/pageri_bch3221.cc (100%)
 rename gr-pager/{src => lib}/pageri_bch3221.h (100%)
 rename gr-pager/{src => lib}/pageri_flex_modes.cc (100%)
 rename gr-pager/{src => lib}/pageri_flex_modes.h (100%)
 rename gr-pager/{src => lib}/pageri_util.cc (100%)
 rename gr-pager/{src => lib}/pageri_util.h (100%)
 copy {gr-cvsd-vocoder/src => gr-pager}/python/.gitignore (100%)
 create mode 100644 gr-pager/python/Makefile.am
 rename gr-pager/{src => python}/__init__.py (100%)
 rename gr-pager/{src => python}/flex_demod.py (100%)
 rename gr-pager/{src => python}/pager_utils.py (100%)
 rename gr-pager/{src => python}/qa_pager.py (100%)
 rename gr-pager/{src => python}/run_tests.in (100%)
 delete mode 100644 gr-pager/src/.gitignore
 delete mode 100644 gr-pager/src/Makefile.am
 delete mode 100644 gr-pager/src/pager_swig.i
 create mode 100644 gr-pager/swig/.gitignore
 create mode 100644 gr-pager/swig/Makefile.am
 rename gr-pager/{src => swig}/Makefile.swig.gen (100%)
 create mode 100644 gr-pager/swig/pager_flex_deinterleave.i
 rename gr-pager/{src => swig}/pager_flex_frame.i (100%)
 create mode 100644 gr-pager/swig/pager_flex_parse.i
 create mode 100644 gr-pager/swig/pager_flex_sync.i
 create mode 100644 gr-pager/swig/pager_slicer_fb.i
 create mode 100644 gr-pager/swig/pager_swig.i
 create mode 100755 gr-utils/src/python/create-gnuradio-out-of-tree-project
 create mode 100644 grc/blocks/blks2_dxpsk2_demod.xml
 create mode 100644 grc/blocks/blks2_dxpsk2_mod.xml
 create mode 100644 grc/blocks/gr_additive_scrambler_bb.xml
 create mode 100644 grc/blocks/gr_fll_band_edge_cc.xml
 delete mode 100644 usrp/fpga/Makefile.extra
 create mode 100644 usrp/fpga/README
 delete mode 100644 usrp/fpga/TODO
 delete mode 100755 usrp/fpga/gen_makefile_extra.py
 delete mode 100755 usrp/fpga/inband_lib/chan_fifo_reader.v
 delete mode 100644 usrp/fpga/inband_lib/channel_demux.v
 delete mode 100755 usrp/fpga/inband_lib/channel_ram.v
 delete mode 100755 usrp/fpga/inband_lib/cmd_reader.v
 delete mode 100755 usrp/fpga/inband_lib/packet_builder.v
 delete mode 100755 usrp/fpga/inband_lib/register_io.v
 delete mode 100755 usrp/fpga/inband_lib/rx_buffer_inband.v
 delete mode 100755 usrp/fpga/inband_lib/tx_buffer_inband.v
 delete mode 100644 usrp/fpga/inband_lib/tx_packer.v
 delete mode 100755 usrp/fpga/inband_lib/usb_packet_fifo.v
 delete mode 100644 usrp/fpga/megacells/.gitignore
 delete mode 100755 usrp/fpga/megacells/accum32.bsf
 delete mode 100755 usrp/fpga/megacells/accum32.cmp
 delete mode 100755 usrp/fpga/megacells/accum32.inc
 delete mode 100755 usrp/fpga/megacells/accum32.v
 delete mode 100755 usrp/fpga/megacells/accum32_bb.v
 delete mode 100755 usrp/fpga/megacells/accum32_inst.v
 delete mode 100755 usrp/fpga/megacells/add32.bsf
 delete mode 100755 usrp/fpga/megacells/add32.cmp
 delete mode 100755 usrp/fpga/megacells/add32.inc
 delete mode 100755 usrp/fpga/megacells/add32.v
 delete mode 100755 usrp/fpga/megacells/add32_bb.v
 delete mode 100755 usrp/fpga/megacells/add32_inst.v
 delete mode 100755 usrp/fpga/megacells/addsub16.bsf
 delete mode 100755 usrp/fpga/megacells/addsub16.cmp
 delete mode 100755 usrp/fpga/megacells/addsub16.inc
 delete mode 100755 usrp/fpga/megacells/addsub16.v
 delete mode 100755 usrp/fpga/megacells/addsub16_bb.v
 delete mode 100755 usrp/fpga/megacells/addsub16_inst.v
 delete mode 100755 usrp/fpga/megacells/bustri.bsf
 delete mode 100755 usrp/fpga/megacells/bustri.cmp
 delete mode 100755 usrp/fpga/megacells/bustri.inc
 delete mode 100755 usrp/fpga/megacells/bustri.v
 delete mode 100755 usrp/fpga/megacells/bustri_bb.v
 delete mode 100755 usrp/fpga/megacells/bustri_inst.v
 delete mode 100644 usrp/fpga/megacells/clk_doubler.v
 delete mode 100644 usrp/fpga/megacells/clk_doubler_bb.v
 delete mode 100644 usrp/fpga/megacells/dspclkpll.v
 delete mode 100644 usrp/fpga/megacells/dspclkpll_bb.v
 delete mode 100755 usrp/fpga/megacells/fifo_1kx16.bsf
 delete mode 100755 usrp/fpga/megacells/fifo_1kx16.cmp
 delete mode 100755 usrp/fpga/megacells/fifo_1kx16.inc
 delete mode 100755 usrp/fpga/megacells/fifo_1kx16.v
 delete mode 100755 usrp/fpga/megacells/fifo_1kx16_bb.v
 delete mode 100755 usrp/fpga/megacells/fifo_1kx16_inst.v
 delete mode 100644 usrp/fpga/megacells/fifo_2k.v
 delete mode 100644 usrp/fpga/megacells/fifo_2k_bb.v
 delete mode 100644 usrp/fpga/megacells/fifo_4k.v
 delete mode 100755 usrp/fpga/megacells/fifo_4k_18.v
 delete mode 100644 usrp/fpga/megacells/fifo_4k_bb.v
 delete mode 100755 usrp/fpga/megacells/fifo_4kx16_dc.bsf
 delete mode 100755 usrp/fpga/megacells/fifo_4kx16_dc.cmp
 delete mode 100755 usrp/fpga/megacells/fifo_4kx16_dc.inc
 delete mode 100755 usrp/fpga/megacells/fifo_4kx16_dc.v
 delete mode 100755 usrp/fpga/megacells/fifo_4kx16_dc_bb.v
 delete mode 100755 usrp/fpga/megacells/fifo_4kx16_dc_inst.v
 delete mode 100755 usrp/fpga/megacells/mylpm_addsub.bsf
 delete mode 100755 usrp/fpga/megacells/mylpm_addsub.cmp
 delete mode 100755 usrp/fpga/megacells/mylpm_addsub.inc
 delete mode 100755 usrp/fpga/megacells/mylpm_addsub.v
 delete mode 100755 usrp/fpga/megacells/mylpm_addsub_bb.v
 delete mode 100755 usrp/fpga/megacells/mylpm_addsub_inst.v
 delete mode 100644 usrp/fpga/megacells/pll.v
 delete mode 100644 usrp/fpga/megacells/pll_bb.v
 delete mode 100644 usrp/fpga/megacells/pll_inst.v
 delete mode 100755 usrp/fpga/megacells/sub32.bsf
 delete mode 100755 usrp/fpga/megacells/sub32.cmp
 delete mode 100755 usrp/fpga/megacells/sub32.inc
 delete mode 100755 usrp/fpga/megacells/sub32.v
 delete mode 100755 usrp/fpga/megacells/sub32_bb.v
 delete mode 100755 usrp/fpga/megacells/sub32_inst.v
 delete mode 100644 usrp/fpga/models/bustri.v
 delete mode 100644 usrp/fpga/models/fifo.v
 delete mode 100644 usrp/fpga/models/fifo_1c_1k.v
 delete mode 100644 usrp/fpga/models/fifo_1c_2k.v
 delete mode 100644 usrp/fpga/models/fifo_1c_4k.v
 delete mode 100644 usrp/fpga/models/fifo_1k.v
 delete mode 100644 usrp/fpga/models/fifo_2k.v
 delete mode 100644 usrp/fpga/models/fifo_4k.v
 delete mode 100644 usrp/fpga/models/fifo_4k_18.v
 delete mode 100644 usrp/fpga/models/pll.v
 delete mode 100644 usrp/fpga/models/ssram.v
 delete mode 100644 usrp/fpga/sdr_lib/.gitignore
 delete mode 100644 usrp/fpga/sdr_lib/adc_interface.v
 delete mode 100644 usrp/fpga/sdr_lib/atr_delay.v
 delete mode 100644 usrp/fpga/sdr_lib/bidir_reg.v
 delete mode 100644 usrp/fpga/sdr_lib/cic_dec_shifter.v
 delete mode 100755 usrp/fpga/sdr_lib/cic_decim.v
 delete mode 100644 usrp/fpga/sdr_lib/cic_int_shifter.v
 delete mode 100755 usrp/fpga/sdr_lib/cic_interp.v
 delete mode 100755 usrp/fpga/sdr_lib/clk_divider.v
 delete mode 100755 usrp/fpga/sdr_lib/cordic.v
 delete mode 100755 usrp/fpga/sdr_lib/cordic_stage.v
 delete mode 100755 usrp/fpga/sdr_lib/ddc.v
 delete mode 100644 usrp/fpga/sdr_lib/dpram.v
 delete mode 100755 usrp/fpga/sdr_lib/duc.v
 delete mode 100644 usrp/fpga/sdr_lib/ext_fifo.v
 delete mode 100755 usrp/fpga/sdr_lib/gen_cordic_consts.py
 delete mode 100644 usrp/fpga/sdr_lib/gen_sync.v
 delete mode 100644 usrp/fpga/sdr_lib/hb/acc.v
 delete mode 100644 usrp/fpga/sdr_lib/hb/coeff_rom.v
 delete mode 100644 usrp/fpga/sdr_lib/hb/halfband_decim.v
 delete mode 100644 usrp/fpga/sdr_lib/hb/halfband_interp.v
 delete mode 100644 usrp/fpga/sdr_lib/hb/hbd_tb/HBD
 delete mode 100644 usrp/fpga/sdr_lib/hb/hbd_tb/really_golden
 delete mode 100644 usrp/fpga/sdr_lib/hb/hbd_tb/regression
 delete mode 100755 usrp/fpga/sdr_lib/hb/hbd_tb/run_hbd
 delete mode 100644 usrp/fpga/sdr_lib/hb/hbd_tb/test_hbd.v
 delete mode 100644 usrp/fpga/sdr_lib/hb/mac.v
 delete mode 100644 usrp/fpga/sdr_lib/hb/mult.v
 delete mode 100644 usrp/fpga/sdr_lib/hb/ram16_2port.v
 delete mode 100644 usrp/fpga/sdr_lib/hb/ram16_2sum.v
 delete mode 100644 usrp/fpga/sdr_lib/hb/ram32_2sum.v
 delete mode 100644 usrp/fpga/sdr_lib/io_pins.v
 delete mode 100644 usrp/fpga/sdr_lib/master_control.v
 delete mode 100644 usrp/fpga/sdr_lib/master_control_multi.v
 delete mode 100755 usrp/fpga/sdr_lib/phase_acc.v
 delete mode 100644 usrp/fpga/sdr_lib/ram.v
 delete mode 100644 usrp/fpga/sdr_lib/ram16.v
 delete mode 100644 usrp/fpga/sdr_lib/ram32.v
 delete mode 100644 usrp/fpga/sdr_lib/ram64.v
 delete mode 100644 usrp/fpga/sdr_lib/rssi.v
 delete mode 100644 usrp/fpga/sdr_lib/rx_buffer.v
 delete mode 100644 usrp/fpga/sdr_lib/rx_chain.v
 delete mode 100644 usrp/fpga/sdr_lib/rx_chain_dual.v
 delete mode 100644 usrp/fpga/sdr_lib/rx_dcoffset.v
 delete mode 100644 usrp/fpga/sdr_lib/serial_io.v
 delete mode 100644 usrp/fpga/sdr_lib/setting_reg.v
 delete mode 100644 usrp/fpga/sdr_lib/setting_reg_masked.v
 delete mode 100644 usrp/fpga/sdr_lib/sign_extend.v
 delete mode 100644 usrp/fpga/sdr_lib/strobe_gen.v
 delete mode 100644 usrp/fpga/sdr_lib/tx_buffer.v
 delete mode 100644 usrp/fpga/sdr_lib/tx_chain.v
 delete mode 100644 usrp/fpga/sdr_lib/tx_chain_hb.v
 delete mode 100644 usrp/fpga/tb/.gitignore
 delete mode 100644 usrp/fpga/tb/cbus_tb.v
 delete mode 100644 usrp/fpga/tb/cordic_tb.v
 delete mode 100644 usrp/fpga/tb/decim_tb.v
 delete mode 100755 usrp/fpga/tb/fullchip_tb.v
 delete mode 100755 usrp/fpga/tb/interp_tb.v
 delete mode 100644 usrp/fpga/tb/justinterp_tb.v
 delete mode 100755 usrp/fpga/tb/makesine.pl
 delete mode 100755 usrp/fpga/tb/run_cordic
 delete mode 100755 usrp/fpga/tb/run_fullchip
 delete mode 100755 usrp/fpga/tb/usrp_tasks.v
 delete mode 100644 usrp/fpga/toplevel/include/common_config_1rxhb_1tx.vh
 delete mode 100644 usrp/fpga/toplevel/include/common_config_2rx_0tx.vh
 delete mode 100644 usrp/fpga/toplevel/include/common_config_2rxhb_0tx.vh
 delete mode 100644 usrp/fpga/toplevel/include/common_config_2rxhb_2tx.vh
 delete mode 100644 usrp/fpga/toplevel/include/common_config_4rx_0tx.vh
 delete mode 100644 usrp/fpga/toplevel/include/common_config_bottom.vh
 delete mode 100644 usrp/fpga/toplevel/mrfm/.gitignore
 delete mode 100644 usrp/fpga/toplevel/mrfm/biquad_2stage.v
 delete mode 100644 usrp/fpga/toplevel/mrfm/biquad_6stage.v
 delete mode 100644 usrp/fpga/toplevel/mrfm/mrfm.csf
 delete mode 100644 usrp/fpga/toplevel/mrfm/mrfm.esf
 delete mode 100644 usrp/fpga/toplevel/mrfm/mrfm.psf
 delete mode 100644 usrp/fpga/toplevel/mrfm/mrfm.py
 delete mode 100644 usrp/fpga/toplevel/mrfm/mrfm.qpf
 delete mode 100644 usrp/fpga/toplevel/mrfm/mrfm.qsf
 delete mode 100644 usrp/fpga/toplevel/mrfm/mrfm.v
 delete mode 100644 usrp/fpga/toplevel/mrfm/mrfm.vh
 delete mode 100644 usrp/fpga/toplevel/mrfm/mrfm_compensator.v
 delete mode 100755 usrp/fpga/toplevel/mrfm/mrfm_fft.py
 delete mode 100644 usrp/fpga/toplevel/mrfm/mrfm_proc.v
 delete mode 100644 usrp/fpga/toplevel/mrfm/shifter.v
 delete mode 100644 usrp/fpga/toplevel/sizetest/.gitignore
 delete mode 100644 usrp/fpga/toplevel/sizetest/sizetest.csf
 delete mode 100644 usrp/fpga/toplevel/sizetest/sizetest.psf
 delete mode 100644 usrp/fpga/toplevel/sizetest/sizetest.quartus
 delete mode 100644 usrp/fpga/toplevel/sizetest/sizetest.ssf
 delete mode 100644 usrp/fpga/toplevel/sizetest/sizetest.v
 delete mode 100644 usrp/fpga/toplevel/usrp_inband_usb/.gitignore
 delete mode 100644 usrp/fpga/toplevel/usrp_inband_usb/config.vh
 delete mode 100644 usrp/fpga/toplevel/usrp_inband_usb/usrp_inband_usb.csf
 delete mode 100644 usrp/fpga/toplevel/usrp_inband_usb/usrp_inband_usb.esf
 delete mode 100644 usrp/fpga/toplevel/usrp_inband_usb/usrp_inband_usb.psf
 delete mode 100644 usrp/fpga/toplevel/usrp_inband_usb/usrp_inband_usb.qpf
 delete mode 100644 usrp/fpga/toplevel/usrp_inband_usb/usrp_inband_usb.qsf
 delete mode 100644 usrp/fpga/toplevel/usrp_inband_usb/usrp_inband_usb.v
 delete mode 100644 usrp/fpga/toplevel/usrp_multi/.gitignore
 delete mode 100644 usrp/fpga/toplevel/usrp_multi/config.vh
 delete mode 100644 usrp/fpga/toplevel/usrp_multi/usrp_multi.csf
 delete mode 100644 usrp/fpga/toplevel/usrp_multi/usrp_multi.esf
 delete mode 100644 usrp/fpga/toplevel/usrp_multi/usrp_multi.psf
 delete mode 100644 usrp/fpga/toplevel/usrp_multi/usrp_multi.qpf
 delete mode 100644 usrp/fpga/toplevel/usrp_multi/usrp_multi.qsf
 delete mode 100644 usrp/fpga/toplevel/usrp_multi/usrp_multi.v
 delete mode 100644 usrp/fpga/toplevel/usrp_std/.gitignore
 delete mode 100644 usrp/fpga/toplevel/usrp_std/config.vh
 delete mode 100644 usrp/fpga/toplevel/usrp_std/usrp_std.csf
 delete mode 100644 usrp/fpga/toplevel/usrp_std/usrp_std.esf
 delete mode 100644 usrp/fpga/toplevel/usrp_std/usrp_std.psf
 delete mode 100644 usrp/fpga/toplevel/usrp_std/usrp_std.qpf
 delete mode 100644 usrp/fpga/toplevel/usrp_std/usrp_std.qsf
 delete mode 100644 usrp/fpga/toplevel/usrp_std/usrp_std.v
 create mode 100644 usrp2/firmware/lib/adf4350.c
 create mode 100644 usrp2/firmware/lib/adf4350.h
 create mode 100644 usrp2/firmware/lib/adf4350_regs.c
 create mode 100644 usrp2/firmware/lib/adf4350_regs.h
 create mode 100644 usrp2/firmware/lib/db_init_wbx.c
 create mode 100644 usrp2/firmware/lib/db_init_xcvr.c
 create mode 100644 usrp2/firmware/lib/db_wbxng.c
 create mode 100644 usrp2/firmware/lib/db_wbxng.h
 delete mode 100644 usrp2/fpga/.gitignore
 create mode 100644 usrp2/fpga/README
 delete mode 100644 usrp2/fpga/boot_cpld/.gitignore
 delete mode 100755 usrp2/fpga/boot_cpld/_impact.cmd
 delete mode 100755 usrp2/fpga/boot_cpld/boot_cpld.ipf
 delete mode 100755 usrp2/fpga/boot_cpld/boot_cpld.ise
 delete mode 100755 usrp2/fpga/boot_cpld/boot_cpld.lfp
 delete mode 100755 usrp2/fpga/boot_cpld/boot_cpld.ucf
 delete mode 100755 usrp2/fpga/boot_cpld/boot_cpld.v
 delete mode 100644 usrp2/fpga/control_lib/.gitignore
 delete mode 100644 usrp2/fpga/control_lib/CRC16_D16.v
 delete mode 100644 usrp2/fpga/control_lib/SYSCTRL.sav
 delete mode 100644 usrp2/fpga/control_lib/WB_SIM.sav
 delete mode 100644 usrp2/fpga/control_lib/atr_controller.v
 delete mode 100644 usrp2/fpga/control_lib/bin2gray.v
 delete mode 100644 usrp2/fpga/control_lib/bootrom.mem
 delete mode 100644 usrp2/fpga/control_lib/clock_bootstrap_rom.v
 delete mode 100644 usrp2/fpga/control_lib/clock_control.v
 delete mode 100644 usrp2/fpga/control_lib/clock_control_tb.sav
 delete mode 100644 usrp2/fpga/control_lib/clock_control_tb.v
 delete mode 100644 usrp2/fpga/control_lib/cmdfile
 delete mode 100644 usrp2/fpga/control_lib/dcache.v
 delete mode 100644 usrp2/fpga/control_lib/decoder_3_8.v
 delete mode 100644 usrp2/fpga/control_lib/dpram32.v
 delete mode 100644 usrp2/fpga/control_lib/fifo_tb.v
 delete mode 100644 usrp2/fpga/control_lib/gray2bin.v
 delete mode 100644 usrp2/fpga/control_lib/gray_send.v
 delete mode 100644 usrp2/fpga/control_lib/icache.v
 delete mode 100644 usrp2/fpga/control_lib/longfifo.v
 delete mode 100644 usrp2/fpga/control_lib/medfifo.v
 delete mode 100644 usrp2/fpga/control_lib/mux4.v
 delete mode 100644 usrp2/fpga/control_lib/mux8.v
 delete mode 100644 usrp2/fpga/control_lib/mux_32_4.v
 delete mode 100644 usrp2/fpga/control_lib/newfifo/.gitignore
 delete mode 100644 usrp2/fpga/control_lib/newfifo/buffer_int.v
 delete mode 100644 usrp2/fpga/control_lib/newfifo/buffer_int_tb.v
 delete mode 100644 usrp2/fpga/control_lib/newfifo/buffer_pool.v
 delete mode 100644 usrp2/fpga/control_lib/newfifo/buffer_pool_tb.v
 delete mode 100644 usrp2/fpga/control_lib/newfifo/fifo19_to_fifo36.v
 delete mode 100644 usrp2/fpga/control_lib/newfifo/fifo19_to_ll8.v
 delete mode 100644 usrp2/fpga/control_lib/newfifo/fifo36_to_fifo18.v
 delete mode 100644 usrp2/fpga/control_lib/newfifo/fifo36_to_fifo19.v
 delete mode 100644 usrp2/fpga/control_lib/newfifo/fifo36_to_ll8.v
 delete mode 100644 usrp2/fpga/control_lib/newfifo/fifo_2clock.v
 delete mode 100644 usrp2/fpga/control_lib/newfifo/fifo_2clock_cascade.v
 delete mode 100644 usrp2/fpga/control_lib/newfifo/fifo_cascade.v
 delete mode 100644 usrp2/fpga/control_lib/newfifo/fifo_long.v
 delete mode 100644 usrp2/fpga/control_lib/newfifo/fifo_new_tb.vcd
 delete mode 100644 usrp2/fpga/control_lib/newfifo/fifo_short.v
 delete mode 100644 usrp2/fpga/control_lib/newfifo/fifo_spec.txt
 delete mode 100644 usrp2/fpga/control_lib/newfifo/fifo_tb.v
 delete mode 100644 usrp2/fpga/control_lib/newfifo/ll8_shortfifo.v
 delete mode 100644 usrp2/fpga/control_lib/newfifo/ll8_to_fifo19.v
 delete mode 100644 usrp2/fpga/control_lib/newfifo/ll8_to_fifo36.v
 delete mode 100644 usrp2/fpga/control_lib/nsgpio.v
 delete mode 100644 usrp2/fpga/control_lib/oneshot_2clk.v
 delete mode 100644 usrp2/fpga/control_lib/pic.v
 delete mode 100644 usrp2/fpga/control_lib/priority_enc.v
 delete mode 100644 usrp2/fpga/control_lib/ram_2port.v
 delete mode 100644 usrp2/fpga/control_lib/ram_harv_cache.v
 delete mode 100644 usrp2/fpga/control_lib/ram_loader.v
 delete mode 100644 usrp2/fpga/control_lib/ram_wb_harvard.v
 delete mode 100644 usrp2/fpga/control_lib/reset_sync.v
 delete mode 100644 usrp2/fpga/control_lib/sd_spi.v
 delete mode 100644 usrp2/fpga/control_lib/sd_spi_tb.v
 delete mode 100644 usrp2/fpga/control_lib/sd_spi_wb.v
 delete mode 100644 usrp2/fpga/control_lib/setting_reg.v
 delete mode 100644 usrp2/fpga/control_lib/settings_bus.v
 delete mode 100644 usrp2/fpga/control_lib/shortfifo.v
 delete mode 100644 usrp2/fpga/control_lib/simple_uart.v
 delete mode 100644 usrp2/fpga/control_lib/simple_uart_rx.v
 delete mode 100644 usrp2/fpga/control_lib/simple_uart_tx.v
 delete mode 100644 usrp2/fpga/control_lib/spi.v
 delete mode 100644 usrp2/fpga/control_lib/srl.v
 delete mode 100644 usrp2/fpga/control_lib/ss_rcvr.v
 delete mode 100644 usrp2/fpga/control_lib/system_control.v
 delete mode 100644 usrp2/fpga/control_lib/system_control_tb.v
 delete mode 100644 usrp2/fpga/control_lib/traffic_cop.v
 delete mode 100644 usrp2/fpga/control_lib/wb_1master.v
 delete mode 100644 usrp2/fpga/control_lib/wb_bridge_16_32.v
 delete mode 100644 usrp2/fpga/control_lib/wb_bus_writer.v
 delete mode 100644 usrp2/fpga/control_lib/wb_output_pins32.v
 delete mode 100644 usrp2/fpga/control_lib/wb_ram_block.v
 delete mode 100644 usrp2/fpga/control_lib/wb_ram_dist.v
 delete mode 100644 usrp2/fpga/control_lib/wb_readback_mux.v
 delete mode 100644 usrp2/fpga/control_lib/wb_regfile_2clock.v
 delete mode 100644 usrp2/fpga/control_lib/wb_semaphore.v
 delete mode 100644 usrp2/fpga/control_lib/wb_sim.v
 delete mode 100644 usrp2/fpga/coregen/.gitignore
 delete mode 100644 usrp2/fpga/coregen/coregen.cgp
 delete mode 100644 usrp2/fpga/coregen/fifo_generator_release_notes.txt
 delete mode 100644 usrp2/fpga/coregen/fifo_generator_ug175.pdf
 delete mode 100644 usrp2/fpga/coregen/fifo_xlnx_16x19_2clk.ngc
 delete mode 100644 usrp2/fpga/coregen/fifo_xlnx_16x19_2clk.v
 delete mode 100644 usrp2/fpga/coregen/fifo_xlnx_16x19_2clk.veo
 delete mode 100644 usrp2/fpga/coregen/fifo_xlnx_16x19_2clk.xco
 delete mode 100644 
usrp2/fpga/coregen/fifo_xlnx_16x19_2clk_fifo_generator_v4_3_xst_1.lso
 delete mode 100644 
usrp2/fpga/coregen/fifo_xlnx_16x19_2clk_fifo_generator_v4_3_xst_1.ngc_xst.xrpt
 delete mode 100644 usrp2/fpga/coregen/fifo_xlnx_16x19_2clk_flist.txt
 delete mode 100644 usrp2/fpga/coregen/fifo_xlnx_16x19_2clk_readme.txt
 delete mode 100644 usrp2/fpga/coregen/fifo_xlnx_16x19_2clk_xmdf.tcl
 delete mode 100644 usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.asy
 delete mode 100644 usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.ngc
 delete mode 100644 usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.sym
 delete mode 100644 usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.v
 delete mode 100644 usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.veo
 delete mode 100644 usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.vhd
 delete mode 100644 usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.vho
 delete mode 100644 usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.xco
 delete mode 100644 
usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk_fifo_generator_v4_3_xst_1.lso
 delete mode 100644 
usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk_fifo_generator_v4_3_xst_1.ngc_xst.xrpt
 delete mode 100644 usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk_flist.txt
 delete mode 100644 usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk_readme.txt
 delete mode 100644 usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk_xmdf.tcl
 delete mode 100644 usrp2/fpga/coregen/fifo_xlnx_512x36_2clk.asy
 delete mode 100644 usrp2/fpga/coregen/fifo_xlnx_512x36_2clk.ngc
 delete mode 100644 usrp2/fpga/coregen/fifo_xlnx_512x36_2clk.sym
 delete mode 100644 usrp2/fpga/coregen/fifo_xlnx_512x36_2clk.v
 delete mode 100644 usrp2/fpga/coregen/fifo_xlnx_512x36_2clk.veo
 delete mode 100644 usrp2/fpga/coregen/fifo_xlnx_512x36_2clk.vhd
 delete mode 100644 usrp2/fpga/coregen/fifo_xlnx_512x36_2clk.vho
 delete mode 100644 usrp2/fpga/coregen/fifo_xlnx_512x36_2clk.xco
 delete mode 100644 
usrp2/fpga/coregen/fifo_xlnx_512x36_2clk_fifo_generator_v4_3_xst_1.lso
 delete mode 100644 
usrp2/fpga/coregen/fifo_xlnx_512x36_2clk_fifo_generator_v4_3_xst_1.ngc_xst.xrpt
 delete mode 100644 usrp2/fpga/coregen/fifo_xlnx_512x36_2clk_flist.txt
 delete mode 100644 usrp2/fpga/coregen/fifo_xlnx_512x36_2clk_readme.txt
 delete mode 100644 usrp2/fpga/coregen/fifo_xlnx_512x36_2clk_xmdf.tcl
 delete mode 100644 usrp2/fpga/coregen/fifo_xlnx_64x36_2clk.ngc
 delete mode 100644 usrp2/fpga/coregen/fifo_xlnx_64x36_2clk.v
 delete mode 100644 usrp2/fpga/coregen/fifo_xlnx_64x36_2clk.veo
 delete mode 100644 usrp2/fpga/coregen/fifo_xlnx_64x36_2clk.xco
 delete mode 100644 
usrp2/fpga/coregen/fifo_xlnx_64x36_2clk_fifo_generator_v4_3_xst_1.lso
 delete mode 100644 
usrp2/fpga/coregen/fifo_xlnx_64x36_2clk_fifo_generator_v4_3_xst_1.ngc_xst.xrpt
 delete mode 100644 usrp2/fpga/coregen/fifo_xlnx_64x36_2clk_flist.txt
 delete mode 100644 usrp2/fpga/coregen/fifo_xlnx_64x36_2clk_readme.txt
 delete mode 100644 usrp2/fpga/coregen/fifo_xlnx_64x36_2clk_xmdf.tcl
 delete mode 100644 usrp2/fpga/extram/.gitignore
 delete mode 100644 usrp2/fpga/extram/extram_interface.v
 delete mode 100644 usrp2/fpga/extram/extram_wb.v
 delete mode 100644 usrp2/fpga/extram/wb_zbt16_b.v
 delete mode 100644 usrp2/fpga/models/BUFG.v
 delete mode 100644 usrp2/fpga/models/CY7C1356C/cy1356.inp
 delete mode 100644 usrp2/fpga/models/CY7C1356C/cy1356.v
 delete mode 100644 usrp2/fpga/models/CY7C1356C/readme.txt
 delete mode 100644 usrp2/fpga/models/CY7C1356C/testbench.v
 delete mode 100644 usrp2/fpga/models/FIFO_GENERATOR_V4_3.v
 delete mode 100644 usrp2/fpga/models/M24LC024B.v
 delete mode 100644 usrp2/fpga/models/M24LC02B.v
 delete mode 100644 usrp2/fpga/models/MULT18X18S.v
 delete mode 100644 usrp2/fpga/models/RAMB16_S36_S36.v
 delete mode 100644 usrp2/fpga/models/SRL16E.v
 delete mode 100644 usrp2/fpga/models/SRLC16E.v
 delete mode 100644 usrp2/fpga/models/adc_model.v
 delete mode 100644 usrp2/fpga/models/cpld_model.v
 delete mode 100644 usrp2/fpga/models/math_real.v
 delete mode 100644 usrp2/fpga/models/miim_model.v
 delete mode 100644 usrp2/fpga/models/phy_sim.v
 delete mode 100644 usrp2/fpga/models/serdes_model.v
 delete mode 100644 usrp2/fpga/models/uart_rx.v
 delete mode 100644 usrp2/fpga/models/xlnx_glbl.v
 delete mode 100644 usrp2/fpga/opencores/8b10b/.gitignore
 delete mode 100644 usrp2/fpga/opencores/8b10b/8b10b_a.mem
 delete mode 100644 usrp2/fpga/opencores/8b10b/README
 delete mode 100644 usrp2/fpga/opencores/8b10b/decode_8b10b.v
 delete mode 100644 usrp2/fpga/opencores/8b10b/encode_8b10b.v
 delete mode 100644 usrp2/fpga/opencores/8b10b/validate_8b10b.v
 delete mode 100644 usrp2/fpga/opencores/README
 delete mode 100644 usrp2/fpga/opencores/aemb/CVS/.gitignore
 delete mode 100644 usrp2/fpga/opencores/aemb/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/aemb/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/aemb/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/aemb/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/aemb/doc/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/aemb/doc/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/aemb/doc/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/aemb/doc/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/aemb/doc/aeMB_datasheet.pdf
 delete mode 100644 usrp2/fpga/opencores/aemb/rtl/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/aemb/rtl/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/aemb/rtl/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/aemb/rtl/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/aemb/rtl/verilog/.gitignore
 delete mode 100644 usrp2/fpga/opencores/aemb/rtl/verilog/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/aemb/rtl/verilog/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/aemb/rtl/verilog/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/aemb/rtl/verilog/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/aemb/rtl/verilog/aeMB_bpcu.v
 delete mode 100644 usrp2/fpga/opencores/aemb/rtl/verilog/aeMB_core.v
 delete mode 100644 usrp2/fpga/opencores/aemb/rtl/verilog/aeMB_core_BE.v
 delete mode 100644 usrp2/fpga/opencores/aemb/rtl/verilog/aeMB_ctrl.v
 delete mode 100644 usrp2/fpga/opencores/aemb/rtl/verilog/aeMB_edk32.v
 delete mode 100644 usrp2/fpga/opencores/aemb/rtl/verilog/aeMB_ibuf.v
 delete mode 100644 usrp2/fpga/opencores/aemb/rtl/verilog/aeMB_regf.v
 delete mode 100644 usrp2/fpga/opencores/aemb/rtl/verilog/aeMB_sim.v
 delete mode 100644 usrp2/fpga/opencores/aemb/rtl/verilog/aeMB_xecu.v
 delete mode 100644 usrp2/fpga/opencores/aemb/sim/.gitignore
 delete mode 100644 usrp2/fpga/opencores/aemb/sim/CODE_DEBUG.sav
 delete mode 100644 usrp2/fpga/opencores/aemb/sim/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/aemb/sim/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/aemb/sim/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/aemb/sim/CVS/Template
 delete mode 100755 usrp2/fpga/opencores/aemb/sim/cversim
 delete mode 100755 usrp2/fpga/opencores/aemb/sim/iversim
 delete mode 100644 usrp2/fpga/opencores/aemb/sim/verilog/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/aemb/sim/verilog/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/aemb/sim/verilog/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/aemb/sim/verilog/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/aemb/sim/verilog/aemb2.v
 delete mode 100644 usrp2/fpga/opencores/aemb/sim/verilog/edk32.v
 delete mode 100644 usrp2/fpga/opencores/aemb/sw/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/aemb/sw/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/aemb/sw/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/aemb/sw/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/aemb/sw/c/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/aemb/sw/c/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/aemb/sw/c/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/aemb/sw/c/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/aemb/sw/c/aeMB_testbench.c
 delete mode 100644 usrp2/fpga/opencores/aemb/sw/c/endian-test.c
 delete mode 100644 usrp2/fpga/opencores/aemb/sw/c/libaemb.h
 delete mode 100755 usrp2/fpga/opencores/aemb/sw/gccrom
 delete mode 100644 usrp2/fpga/opencores/i2c/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/i2c/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/i2c/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/i2c/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/i2c/bench/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/i2c/bench/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/i2c/bench/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/i2c/bench/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/i2c/bench/verilog/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/i2c/bench/verilog/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/i2c/bench/verilog/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/i2c/bench/verilog/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/i2c/bench/verilog/i2c_slave_model.v
 delete mode 100644 usrp2/fpga/opencores/i2c/bench/verilog/spi_slave_model.v
 delete mode 100644 usrp2/fpga/opencores/i2c/bench/verilog/tst_bench_top.v
 delete mode 100644 usrp2/fpga/opencores/i2c/bench/verilog/wb_master_model.v
 delete mode 100644 usrp2/fpga/opencores/i2c/doc/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/i2c/doc/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/i2c/doc/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/i2c/doc/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/i2c/doc/i2c_specs.pdf
 delete mode 100644 usrp2/fpga/opencores/i2c/doc/src/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/i2c/doc/src/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/i2c/doc/src/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/i2c/doc/src/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/i2c/doc/src/I2C_specs.doc
 delete mode 100644 usrp2/fpga/opencores/i2c/documentation/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/i2c/documentation/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/i2c/documentation/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/i2c/documentation/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/i2c/rtl/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/i2c/rtl/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/i2c/rtl/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/i2c/rtl/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/i2c/rtl/verilog/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/i2c/rtl/verilog/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/i2c/rtl/verilog/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/i2c/rtl/verilog/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/i2c/rtl/verilog/i2c_master_bit_ctrl.v
 delete mode 100644 usrp2/fpga/opencores/i2c/rtl/verilog/i2c_master_byte_ctrl.v
 delete mode 100644 usrp2/fpga/opencores/i2c/rtl/verilog/i2c_master_defines.v
 delete mode 100644 usrp2/fpga/opencores/i2c/rtl/verilog/i2c_master_top.v
 delete mode 100644 usrp2/fpga/opencores/i2c/rtl/verilog/timescale.v
 delete mode 100644 usrp2/fpga/opencores/i2c/rtl/vhdl/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/i2c/rtl/vhdl/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/i2c/rtl/vhdl/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/i2c/rtl/vhdl/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/i2c/rtl/vhdl/I2C.VHD
 delete mode 100644 usrp2/fpga/opencores/i2c/rtl/vhdl/i2c_master_bit_ctrl.vhd
 delete mode 100644 usrp2/fpga/opencores/i2c/rtl/vhdl/i2c_master_byte_ctrl.vhd
 delete mode 100644 usrp2/fpga/opencores/i2c/rtl/vhdl/i2c_master_top.vhd
 delete mode 100644 usrp2/fpga/opencores/i2c/rtl/vhdl/readme
 delete mode 100644 usrp2/fpga/opencores/i2c/rtl/vhdl/tst_ds1621.vhd
 delete mode 100644 usrp2/fpga/opencores/i2c/sim/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/i2c/sim/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/i2c/sim/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/i2c/sim/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/i2c/sim/i2c_verilog/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/i2c/sim/i2c_verilog/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/i2c/sim/i2c_verilog/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/i2c/sim/i2c_verilog/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/CVS/Template
 delete mode 100644 
usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/INCA_libs/CVS/Entries
 delete mode 100644 
usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/INCA_libs/CVS/Repository
 delete mode 100644 
usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/INCA_libs/CVS/Root
 delete mode 100644 
usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/INCA_libs/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/bench.vcd
 delete mode 100644 usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/ncverilog.key
 delete mode 100644 usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/ncverilog.log
 delete mode 100755 usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/run
 delete mode 100644 
usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/waves/CVS/Entries
 delete mode 100644 
usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/waves/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/waves/CVS/Root
 delete mode 100644 
usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/waves/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/i2c/software/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/i2c/software/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/i2c/software/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/i2c/software/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/i2c/software/drivers/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/i2c/software/drivers/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/i2c/software/drivers/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/i2c/software/drivers/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/i2c/software/include/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/i2c/software/include/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/i2c/software/include/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/i2c/software/include/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/i2c/software/include/oc_i2c_master.h
 delete mode 100644 usrp2/fpga/opencores/i2c/verilog/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/i2c/verilog/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/i2c/verilog/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/i2c/verilog/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/i2c/vhdl/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/i2c/vhdl/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/i2c/vhdl/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/i2c/vhdl/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/simple_gpio/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/simple_gpio/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/simple_gpio/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/simple_gpio/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/simple_gpio/rtl/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/simple_gpio/rtl/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/simple_gpio/rtl/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/simple_gpio/rtl/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/simple_gpio/rtl/simple_gpio.v
 delete mode 100644 usrp2/fpga/opencores/simple_pic/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/simple_pic/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/simple_pic/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/simple_pic/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/simple_pic/rtl/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/simple_pic/rtl/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/simple_pic/rtl/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/simple_pic/rtl/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/simple_pic/rtl/simple_pic.v
 delete mode 100644 usrp2/fpga/opencores/spi/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/spi/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/spi/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/spi/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/spi/bench/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/spi/bench/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/spi/bench/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/spi/bench/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/spi/bench/verilog/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/spi/bench/verilog/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/spi/bench/verilog/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/spi/bench/verilog/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/spi/bench/verilog/spi_slave_model.v
 delete mode 100644 usrp2/fpga/opencores/spi/bench/verilog/tb_spi_top.v
 delete mode 100644 usrp2/fpga/opencores/spi/bench/verilog/wb_master_model.v
 delete mode 100644 usrp2/fpga/opencores/spi/doc/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/spi/doc/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/spi/doc/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/spi/doc/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/spi/doc/spi.pdf
 delete mode 100644 usrp2/fpga/opencores/spi/doc/src/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/spi/doc/src/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/spi/doc/src/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/spi/doc/src/CVS/Template
 delete mode 100755 usrp2/fpga/opencores/spi/doc/src/spi.doc
 delete mode 100644 usrp2/fpga/opencores/spi/rtl/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/spi/rtl/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/spi/rtl/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/spi/rtl/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/spi/rtl/verilog/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/spi/rtl/verilog/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/spi/rtl/verilog/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/spi/rtl/verilog/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/spi/rtl/verilog/spi_clgen.v
 delete mode 100644 usrp2/fpga/opencores/spi/rtl/verilog/spi_defines.v
 delete mode 100644 usrp2/fpga/opencores/spi/rtl/verilog/spi_shift.v
 delete mode 100644 usrp2/fpga/opencores/spi/rtl/verilog/spi_top.v
 delete mode 100644 usrp2/fpga/opencores/spi/rtl/verilog/timescale.v
 delete mode 100644 usrp2/fpga/opencores/spi/sim/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/spi/sim/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/spi/sim/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/spi/sim/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/spi/sim/rtl_sim/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/spi/sim/rtl_sim/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/spi/sim/rtl_sim/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/spi/sim/rtl_sim/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/spi/sim/rtl_sim/run/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/spi/sim/rtl_sim/run/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/spi/sim/rtl_sim/run/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/spi/sim/rtl_sim/run/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/spi/sim/rtl_sim/run/rtl.fl
 delete mode 100755 usrp2/fpga/opencores/spi/sim/rtl_sim/run/run_sim
 delete mode 100644 usrp2/fpga/opencores/spi/sim/rtl_sim/run/sim.fl
 delete mode 100644 usrp2/fpga/opencores/spi/sim/run/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/spi/sim/run/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/spi/sim/run/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/spi/sim/run/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/spi_boot/COMPILE_LIST
 delete mode 100644 usrp2/fpga/opencores/spi_boot/COPYING
 delete mode 100644 usrp2/fpga/opencores/spi_boot/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/spi_boot/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/spi_boot/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/spi_boot/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/spi_boot/KNOWN_BUGS
 delete mode 100644 usrp2/fpga/opencores/spi_boot/README
 delete mode 100644 usrp2/fpga/opencores/spi_boot/bench/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/spi_boot/bench/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/spi_boot/bench/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/spi_boot/bench/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/spi_boot/bench/vhdl/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/spi_boot/bench/vhdl/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/spi_boot/bench/vhdl/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/spi_boot/bench/vhdl/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/spi_boot/bench/vhdl/card-c.vhd
 delete mode 100644 usrp2/fpga/opencores/spi_boot/bench/vhdl/card.vhd
 delete mode 100644 usrp2/fpga/opencores/spi_boot/bench/vhdl/tb-c.vhd
 delete mode 100644 usrp2/fpga/opencores/spi_boot/bench/vhdl/tb.vhd
 delete mode 100644 usrp2/fpga/opencores/spi_boot/bench/vhdl/tb_elem-full-c.vhd
 delete mode 100644 
usrp2/fpga/opencores/spi_boot/bench/vhdl/tb_elem-minimal-c.vhd
 delete mode 100644 usrp2/fpga/opencores/spi_boot/bench/vhdl/tb_elem-mmc-c.vhd
 delete mode 100644 usrp2/fpga/opencores/spi_boot/bench/vhdl/tb_elem-sd-c.vhd
 delete mode 100644 usrp2/fpga/opencores/spi_boot/bench/vhdl/tb_elem.vhd
 delete mode 100644 usrp2/fpga/opencores/spi_boot/bench/vhdl/tb_pack-p.vhd
 delete mode 100644 usrp2/fpga/opencores/spi_boot/bench/vhdl/tb_rl-c.vhd
 delete mode 100644 usrp2/fpga/opencores/spi_boot/bench/vhdl/tb_rl.vhd
 delete mode 100644 usrp2/fpga/opencores/spi_boot/doc/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/spi_boot/doc/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/spi_boot/doc/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/spi_boot/doc/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/spi_boot/doc/spi_boot.pdf
 delete mode 100644 usrp2/fpga/opencores/spi_boot/doc/spi_boot_schematic.pdf
 delete mode 100644 usrp2/fpga/opencores/spi_boot/doc/src/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/spi_boot/doc/src/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/spi_boot/doc/src/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/spi_boot/doc/src/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/spi_boot/doc/src/architecture.eps
 delete mode 100644 usrp2/fpga/opencores/spi_boot/doc/src/architecture.fig
 delete mode 100644 usrp2/fpga/opencores/spi_boot/doc/src/initialization.eps
 delete mode 100644 usrp2/fpga/opencores/spi_boot/doc/src/initialization.fig
 delete mode 100644 
usrp2/fpga/opencores/spi_boot/doc/src/memory_organization.eps
 delete mode 100644 
usrp2/fpga/opencores/spi_boot/doc/src/memory_organization.fig
 delete mode 100644 usrp2/fpga/opencores/spi_boot/doc/src/spi_boot.sxw
 delete mode 100644 usrp2/fpga/opencores/spi_boot/doc/src/transfer.eps
 delete mode 100644 usrp2/fpga/opencores/spi_boot/doc/src/transfer.fig
 delete mode 100644 usrp2/fpga/opencores/spi_boot/rtl/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/spi_boot/rtl/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/spi_boot/rtl/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/spi_boot/rtl/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/spi_boot/rtl/vhdl/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/spi_boot/rtl/vhdl/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/spi_boot/rtl/vhdl/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/spi_boot/rtl/vhdl/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/spi_boot/rtl/vhdl/chip-e.vhd
 delete mode 100644 usrp2/fpga/opencores/spi_boot/rtl/vhdl/chip-full-a.vhd
 delete mode 100644 usrp2/fpga/opencores/spi_boot/rtl/vhdl/chip-full-c.vhd
 delete mode 100644 usrp2/fpga/opencores/spi_boot/rtl/vhdl/chip-minimal-a.vhd
 delete mode 100644 usrp2/fpga/opencores/spi_boot/rtl/vhdl/chip-minimal-c.vhd
 delete mode 100644 usrp2/fpga/opencores/spi_boot/rtl/vhdl/chip-mmc-a.vhd
 delete mode 100644 usrp2/fpga/opencores/spi_boot/rtl/vhdl/chip-mmc-c.vhd
 delete mode 100644 usrp2/fpga/opencores/spi_boot/rtl/vhdl/chip-sd-a.vhd
 delete mode 100644 usrp2/fpga/opencores/spi_boot/rtl/vhdl/chip-sd-c.vhd
 delete mode 100644 usrp2/fpga/opencores/spi_boot/rtl/vhdl/sample/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/spi_boot/rtl/vhdl/sample/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/spi_boot/rtl/vhdl/sample/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/spi_boot/rtl/vhdl/sample/CVS/Template
 delete mode 100644 
usrp2/fpga/opencores/spi_boot/rtl/vhdl/sample/ram_loader-c.vhd
 delete mode 100644 usrp2/fpga/opencores/spi_boot/rtl/vhdl/sample/ram_loader.vhd
 delete mode 100644 usrp2/fpga/opencores/spi_boot/rtl/vhdl/spi_boot-c.vhd
 delete mode 100644 usrp2/fpga/opencores/spi_boot/rtl/vhdl/spi_boot.vhd
 delete mode 100644 usrp2/fpga/opencores/spi_boot/rtl/vhdl/spi_boot_pack-p.vhd
 delete mode 100644 usrp2/fpga/opencores/spi_boot/rtl/vhdl/spi_counter-c.vhd
 delete mode 100644 usrp2/fpga/opencores/spi_boot/rtl/vhdl/spi_counter.vhd
 delete mode 100644 usrp2/fpga/opencores/spi_boot/sim/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/spi_boot/sim/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/spi_boot/sim/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/spi_boot/sim/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/spi_boot/sim/rtl_sim/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/spi_boot/sim/rtl_sim/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/spi_boot/sim/rtl_sim/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/spi_boot/sim/rtl_sim/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/spi_boot/sim/rtl_sim/Makefile
 delete mode 100644 usrp2/fpga/opencores/spi_boot/sw/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/spi_boot/sw/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/spi_boot/sw/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/spi_boot/sw/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/spi_boot/sw/misc/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/spi_boot/sw/misc/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/spi_boot/sw/misc/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/spi_boot/sw/misc/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/spi_boot/sw/misc/bit_reverse.c
 delete mode 100644 usrp2/fpga/opencores/wb_zbt/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/wb_zbt/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/wb_zbt/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/wb_zbt/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/wb_zbt/wb_zbt.v
 delete mode 100644 usrp2/fpga/sdr_lib/.gitignore
 delete mode 100644 usrp2/fpga/sdr_lib/HB.sav
 delete mode 100644 usrp2/fpga/sdr_lib/SMALL_HB.sav
 delete mode 100644 usrp2/fpga/sdr_lib/acc.v
 delete mode 100644 usrp2/fpga/sdr_lib/add2.v
 delete mode 100644 usrp2/fpga/sdr_lib/add2_and_round.v
 delete mode 100644 usrp2/fpga/sdr_lib/add2_and_round_reg.v
 delete mode 100644 usrp2/fpga/sdr_lib/add2_reg.v
 delete mode 100644 usrp2/fpga/sdr_lib/cic_dec_shifter.v
 delete mode 100755 usrp2/fpga/sdr_lib/cic_decim.v
 delete mode 100644 usrp2/fpga/sdr_lib/cic_int_shifter.v
 delete mode 100755 usrp2/fpga/sdr_lib/cic_interp.v
 delete mode 100644 usrp2/fpga/sdr_lib/cic_strober.v
 delete mode 100644 usrp2/fpga/sdr_lib/clip.v
 delete mode 100644 usrp2/fpga/sdr_lib/clip_and_round.v
 delete mode 100644 usrp2/fpga/sdr_lib/clip_and_round_reg.v
 delete mode 100644 usrp2/fpga/sdr_lib/clip_reg.v
 delete mode 100755 usrp2/fpga/sdr_lib/cordic.v
 delete mode 100755 usrp2/fpga/sdr_lib/cordic_stage.v
 delete mode 100644 usrp2/fpga/sdr_lib/cordic_z24.v
 delete mode 100755 usrp2/fpga/sdr_lib/ddc.v
 delete mode 100644 usrp2/fpga/sdr_lib/dsp_core_rx.v
 delete mode 100644 usrp2/fpga/sdr_lib/dsp_core_tx.v
 delete mode 100755 usrp2/fpga/sdr_lib/duc.v
 delete mode 100644 usrp2/fpga/sdr_lib/dummy_rx.v
 delete mode 100755 usrp2/fpga/sdr_lib/gen_cordic_consts.py
 delete mode 100644 usrp2/fpga/sdr_lib/halfband_ideal.v
 delete mode 100644 usrp2/fpga/sdr_lib/halfband_tb.v
 delete mode 100644 usrp2/fpga/sdr_lib/hb/acc.v
 delete mode 100644 usrp2/fpga/sdr_lib/hb/coeff_ram.v
 delete mode 100644 usrp2/fpga/sdr_lib/hb/coeff_rom.v
 delete mode 100644 usrp2/fpga/sdr_lib/hb/halfband_decim.v
 delete mode 100644 usrp2/fpga/sdr_lib/hb/halfband_interp.v
 delete mode 100644 usrp2/fpga/sdr_lib/hb/hbd_tb/HBD
 delete mode 100644 usrp2/fpga/sdr_lib/hb/hbd_tb/really_golden
 delete mode 100644 usrp2/fpga/sdr_lib/hb/hbd_tb/regression
 delete mode 100755 usrp2/fpga/sdr_lib/hb/hbd_tb/run_hbd
 delete mode 100644 usrp2/fpga/sdr_lib/hb/hbd_tb/test_hbd.v
 delete mode 100644 usrp2/fpga/sdr_lib/hb/mac.v
 delete mode 100644 usrp2/fpga/sdr_lib/hb/mult.v
 delete mode 100644 usrp2/fpga/sdr_lib/hb/ram16_2port.v
 delete mode 100644 usrp2/fpga/sdr_lib/hb/ram16_2sum.v
 delete mode 100644 usrp2/fpga/sdr_lib/hb/ram32_2sum.v
 delete mode 100644 usrp2/fpga/sdr_lib/hb_dec.v
 delete mode 100644 usrp2/fpga/sdr_lib/hb_dec_tb.v
 delete mode 100644 usrp2/fpga/sdr_lib/hb_interp.v
 delete mode 100644 usrp2/fpga/sdr_lib/hb_interp_tb.v
 delete mode 100644 usrp2/fpga/sdr_lib/hb_tb.v
 delete mode 100644 usrp2/fpga/sdr_lib/input.dat
 delete mode 100644 usrp2/fpga/sdr_lib/integrate.v
 delete mode 100644 usrp2/fpga/sdr_lib/med_hb_int.v
 delete mode 100644 usrp2/fpga/sdr_lib/output.dat
 delete mode 100644 usrp2/fpga/sdr_lib/round.v
 delete mode 100644 usrp2/fpga/sdr_lib/round_reg.v
 delete mode 100644 usrp2/fpga/sdr_lib/rssi.v
 delete mode 100644 usrp2/fpga/sdr_lib/rx_control.v
 delete mode 100644 usrp2/fpga/sdr_lib/rx_dcoffset.v
 delete mode 100644 usrp2/fpga/sdr_lib/rx_dcoffset_tb.v
 delete mode 100644 usrp2/fpga/sdr_lib/sign_extend.v
 delete mode 100644 usrp2/fpga/sdr_lib/small_hb_dec.v
 delete mode 100644 usrp2/fpga/sdr_lib/small_hb_dec_tb.v
 delete mode 100644 usrp2/fpga/sdr_lib/small_hb_int.v
 delete mode 100644 usrp2/fpga/sdr_lib/small_hb_int_tb.v
 delete mode 100644 usrp2/fpga/sdr_lib/tx_control.v
 delete mode 100644 usrp2/fpga/serdes/serdes.v
 delete mode 100644 usrp2/fpga/serdes/serdes_fc_rx.v
 delete mode 100644 usrp2/fpga/serdes/serdes_fc_tx.v
 delete mode 100644 usrp2/fpga/serdes/serdes_rx.v
 delete mode 100644 usrp2/fpga/serdes/serdes_tb.v
 delete mode 100644 usrp2/fpga/serdes/serdes_tx.v
 delete mode 100644 usrp2/fpga/simple_gemac/.gitignore
 delete mode 100644 usrp2/fpga/simple_gemac/address_filter.v
 delete mode 100644 usrp2/fpga/simple_gemac/crc.v
 delete mode 100644 usrp2/fpga/simple_gemac/delay_line.v
 delete mode 100644 usrp2/fpga/simple_gemac/eth_tasks.v
 delete mode 100644 usrp2/fpga/simple_gemac/eth_tasks_f36.v
 delete mode 100644 usrp2/fpga/simple_gemac/flow_ctrl_rx.v
 delete mode 100644 usrp2/fpga/simple_gemac/flow_ctrl_tx.v
 delete mode 100644 usrp2/fpga/simple_gemac/ll8_shortfifo.v
 delete mode 100644 usrp2/fpga/simple_gemac/ll8_to_txmac.v
 delete mode 100644 usrp2/fpga/simple_gemac/miim/eth_clockgen.v
 delete mode 100644 usrp2/fpga/simple_gemac/miim/eth_miim.v
 delete mode 100644 usrp2/fpga/simple_gemac/miim/eth_outputcontrol.v
 delete mode 100644 usrp2/fpga/simple_gemac/miim/eth_shiftreg.v
 delete mode 100644 usrp2/fpga/simple_gemac/rxmac_to_ll8.v
 delete mode 100644 usrp2/fpga/simple_gemac/simple_gemac.v
 delete mode 100644 usrp2/fpga/simple_gemac/simple_gemac_rx.v
 delete mode 100644 usrp2/fpga/simple_gemac/simple_gemac_tb.v
 delete mode 100644 usrp2/fpga/simple_gemac/simple_gemac_tx.v
 delete mode 100644 usrp2/fpga/simple_gemac/simple_gemac_wb.v
 delete mode 100755 usrp2/fpga/simple_gemac/simple_gemac_wrapper.build
 delete mode 100644 usrp2/fpga/simple_gemac/simple_gemac_wrapper.v
 delete mode 100644 usrp2/fpga/simple_gemac/simple_gemac_wrapper_f36_tb.v
 delete mode 100644 usrp2/fpga/simple_gemac/simple_gemac_wrapper_tb.v
 delete mode 100644 usrp2/fpga/simple_gemac/test_packet.mem
 delete mode 100644 usrp2/fpga/testbench/.gitignore
 delete mode 100644 usrp2/fpga/testbench/BOOTSTRAP.sav
 delete mode 100644 usrp2/fpga/testbench/Makefile
 delete mode 100644 usrp2/fpga/testbench/PAUSE.sav
 delete mode 100644 usrp2/fpga/testbench/README
 delete mode 100644 usrp2/fpga/testbench/SERDES.sav
 delete mode 100644 usrp2/fpga/testbench/U2_SIM.sav
 delete mode 100644 usrp2/fpga/testbench/cmdfile
 delete mode 100644 usrp2/fpga/timing/.gitignore
 delete mode 100644 usrp2/fpga/timing/time_64bit.v
 delete mode 100644 usrp2/fpga/timing/time_receiver.v
 delete mode 100644 usrp2/fpga/timing/time_sender.v
 delete mode 100644 usrp2/fpga/timing/time_sync.v
 delete mode 100644 usrp2/fpga/timing/time_transfer_tb.v
 delete mode 100644 usrp2/fpga/timing/timer.v
 delete mode 100644 usrp2/fpga/top/.gitignore
 delete mode 100644 usrp2/fpga/top/eth_test/.gitignore
 delete mode 100644 usrp2/fpga/top/eth_test/eth_sim_top.v
 delete mode 100644 usrp2/fpga/top/eth_test/eth_tb.v
 delete mode 100644 usrp2/fpga/top/single_u2_sim/single_u2_sim.v
 delete mode 100644 usrp2/fpga/top/tcl/ise_helper.tcl
 delete mode 100644 usrp2/fpga/top/u2_core/.gitignore
 delete mode 100755 usrp2/fpga/top/u2_core/u2_core.v
 delete mode 100644 usrp2/fpga/top/u2_rev1/.gitignore
 delete mode 100644 usrp2/fpga/top/u2_rev1/Makefile
 delete mode 100644 usrp2/fpga/top/u2_rev1/u2_fpga.ise
 delete mode 100755 usrp2/fpga/top/u2_rev1/u2_fpga.ucf
 delete mode 100644 usrp2/fpga/top/u2_rev1/u2_fpga_top.prj
 delete mode 100644 usrp2/fpga/top/u2_rev1/u2_fpga_top.v
 delete mode 100644 usrp2/fpga/top/u2_rev2/.gitignore
 delete mode 100644 usrp2/fpga/top/u2_rev2/Makefile
 delete mode 100644 usrp2/fpga/top/u2_rev2/u2_rev2.ucf
 delete mode 100644 usrp2/fpga/top/u2_rev2/u2_rev2.v
 delete mode 100644 usrp2/fpga/top/u2_rev3/.gitignore
 delete mode 100644 usrp2/fpga/top/u2_rev3/Makefile
 delete mode 100644 usrp2/fpga/top/u2_rev3/u2_rev3.ucf
 delete mode 100644 usrp2/fpga/top/u2_rev3/u2_rev3.v
 delete mode 100644 usrp2/fpga/top/u2_rev3_2rx_iad/Makefile
 delete mode 100644 usrp2/fpga/top/u2_rev3_2rx_iad/README
 delete mode 100644 usrp2/fpga/top/u2_rev3_2rx_iad/cmdfile
 delete mode 100644 usrp2/fpga/top/u2_rev3_2rx_iad/dsp_core_rx.v
 delete mode 100644 usrp2/fpga/top/u2_rev3_2rx_iad/dsp_core_tb.sav
 delete mode 100644 usrp2/fpga/top/u2_rev3_2rx_iad/dsp_core_tb.v
 delete mode 100644 usrp2/fpga/top/u2_rev3_2rx_iad/impulse.v
 delete mode 100755 usrp2/fpga/top/u2_rev3_2rx_iad/u2_core.v
 delete mode 100755 usrp2/fpga/top/u2_rev3_2rx_iad/wave.sh
 delete mode 100644 usrp2/fpga/top/u2_rev3_iad/.gitignore
 delete mode 100644 usrp2/fpga/top/u2_rev3_iad/Makefile
 delete mode 100644 usrp2/fpga/top/u2_rev3_iad/cmdfile
 delete mode 100644 usrp2/fpga/top/u2_rev3_iad/dsp_core_rx.v
 delete mode 100644 usrp2/fpga/top/u2_rev3_iad/dsp_core_tb.sav
 delete mode 100644 usrp2/fpga/top/u2_rev3_iad/dsp_core_tb.v
 delete mode 100644 usrp2/fpga/top/u2_rev3_iad/impulse.v
 delete mode 100755 usrp2/fpga/top/u2_rev3_iad/wave.sh
 delete mode 100755 usrp2/fpga/top/u2plus/u2plus.ucf
 delete mode 100644 usrp2/fpga/top/u2plus/u2plus.v
 delete mode 100644 vrt/lib/expanded_header_cw_tables.h
 create mode 100644 vrt/lib/expanded_header_parse_switch_body.h
 delete mode 100644 vrt/lib/expanded_header_switch_body.h
 create mode 100644 vrt/lib/expanded_header_unparse_switch_body.h
 delete mode 100755 vrt/lib/gen_cw_tables.py
 create mode 100755 vrt/lib/gen_parse_switch_body.py
 delete mode 100755 vrt/lib/gen_switch_body.py
 create mode 100755 vrt/lib/gen_unparse_switch_body.py


hooks/post-receive
-- 
git://gnuradio.org/n4hy




reply via email to

[Prev in Thread] Current Thread [Next in Thread]