commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] [gnuradio] 115/148: place udp core in the memory space


From: git
Subject: [Commit-gnuradio] [gnuradio] 115/148: place udp core in the memory space
Date: Mon, 15 Aug 2016 00:47:32 +0000 (UTC)

This is an automated email from the git hooks/post-receive script.

nwest pushed a commit to annotated tag old_usrp_devel_udp
in repository gnuradio.

commit 4cd3e8b9085e79172f4d90e616020eac0694dc10
Author: Matt Ettus <address@hidden>
Date:   Tue Jan 5 15:05:31 2010 -0800

    place udp core in the memory space
---
 usrp2/fpga/top/u2_core/u2_core.v | 19 +++++++++++--------
 usrp2/fpga/udp/udp_wrapper.v     |  2 +-
 2 files changed, 12 insertions(+), 9 deletions(-)

diff --git a/usrp2/fpga/top/u2_core/u2_core.v b/usrp2/fpga/top/u2_core/u2_core.v
index 1a177a8..11159ee 100644
--- a/usrp2/fpga/top/u2_core/u2_core.v
+++ b/usrp2/fpga/top/u2_core/u2_core.v
@@ -136,12 +136,15 @@ module u2_core
    input [3:0] clock_divider
    );
 
-   localparam SR_RX_DSP = 160;
-   localparam SR_RX_CTRL = 176;
-   localparam SR_TX_DSP = 208;
-   localparam SR_TX_CTRL = 224;
-   localparam SR_TIME64 = 192;
-   
+   localparam SR_BUF_POOL = 64;   // Uses 1 reg
+   localparam SR_UDP_SM   = 96;   // 64 regs
+   localparam SR_RX_DSP   = 160;  // 16
+   localparam SR_RX_CTRL  = 176;  // 16
+   localparam SR_TIME64   = 192;  //  3
+   localparam SR_TX_DSP   = 208;  // 16
+   localparam SR_TX_CTRL  = 224;  // 16
+   
+                        
    wire [7:0]  set_addr;
    wire [31:0]         set_data;
    wire        set_stb;
@@ -340,7 +343,7 @@ module u2_core
    wire [3:0]   wr0_flags, wr1_flags, wr2_flags, wr3_flags;
    wire [31:0]          wr0_dat, wr1_dat, wr2_dat, wr3_dat;
    
-   buffer_pool #(.BUF_SIZE(9), .SET_ADDR(64)) buffer_pool
+   buffer_pool #(.BUF_SIZE(9), .SET_ADDR(SR_BUF_POOL)) buffer_pool
      (.wb_clk_i(wb_clk),.wb_rst_i(wb_rst),
       .wb_we_i(s1_we),.wb_stb_i(s1_stb),.wb_adr_i(s1_adr),.wb_dat_i(s1_dat_o), 
  
       .wb_dat_o(s1_dat_i),.wb_ack_o(s1_ack),.wb_err_o(),.wb_rty_o(),
@@ -436,7 +439,7 @@ module u2_core
       .mdio(MDIO), .mdc(MDC),
       .debug(debug_mac));
 
-   udp_wrapper #(.BASE(0)) udp_wrapper
+   udp_wrapper #(.BASE(SR_UDP_SM)) udp_wrapper
      (.clk(dsp_clk), .reset(dsp_rst), .clear(0),
       .set_stb(set_stb), .set_addr(set_addr), .set_data(set_data),
       .rx_f19_data(rx_f19_data), .rx_f19_src_rdy_i(rx_f19_src_rdy), 
.rx_f19_dst_rdy_o(rx_f19_dst_rdy),
diff --git a/usrp2/fpga/udp/udp_wrapper.v b/usrp2/fpga/udp/udp_wrapper.v
index 490e392..2d516ac 100644
--- a/usrp2/fpga/udp/udp_wrapper.v
+++ b/usrp2/fpga/udp/udp_wrapper.v
@@ -46,7 +46,7 @@ module udp_wrapper
    wire [35:0] rx_int3_data;
    
 `ifdef USE_PROT_ENG
-   prot_eng_rx #(.BASE(BASE)) prot_eng_rx
+   prot_eng_rx #(.BASE(BASE+32)) prot_eng_rx
      (.clk(clk), .reset(reset), .clear(clear),
       .datain(rx_f19_data), .src_rdy_i(rx_f19_src_rdy_i), 
.dst_rdy_o(rx_f19_dst_rdy_o),
       .dataout(rx_int1_data), .src_rdy_o(rx_int1_src_rdy), 
.dst_rdy_i(rx_int1_dst_rdy) );



reply via email to

[Prev in Thread] Current Thread [Next in Thread]