h5md-commit
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[h5md-commit] [SCM] UNNAMED PROJECT branch, master, updated. v1.0_rc2-10


From: Pierre de Buyl
Subject: [h5md-commit] [SCM] UNNAMED PROJECT branch, master, updated. v1.0_rc2-101-g4fa3be2
Date: Fri, 08 Nov 2013 15:07:44 +0000

This is an automated email from the git hooks/post-receive script. It was
generated because a ref change was pushed to the repository containing
the project "UNNAMED PROJECT".

The branch, master has been updated
       via  4fa3be22dd582b695f8b9e5a104aabf98100200a (commit)
       via  84989c6bd76ccda83de03ff198ce822458f12190 (commit)
       via  3864c3e6b5b3e69f700797517c2343b98a1e9947 (commit)
      from  dd3d9cf049bc03020b353d03bb1ebccdf15c4de1 (commit)

Those revisions listed above that are new to this repository have
not appeared on any other notification email; so we list those
revisions in full, below.

- Log -----------------------------------------------------------------
commit 4fa3be22dd582b695f8b9e5a104aabf98100200a
Author: Pierre de Buyl <address@hidden>
Date:   Fri Nov 8 15:39:17 2013 +0100

    Minor style edit.

commit 84989c6bd76ccda83de03ff198ce822458f12190
Author: Pierre de Buyl <address@hidden>
Date:   Fri Nov 8 15:38:45 2013 +0100

    Add meaning of VL type.

commit 3864c3e6b5b3e69f700797517c2343b98a1e9947
Author: Pierre de Buyl <address@hidden>
Date:   Fri Nov 8 15:31:59 2013 +0100

    Minor edit to clarify version scheme according to
    http://article.gmane.org/gmane.science.simulation.h5md.user/472

-----------------------------------------------------------------------

Summary of changes:
 draft.mdwn |   12 ++++++++----
 1 files changed, 8 insertions(+), 4 deletions(-)


hooks/post-receive
-- 
UNNAMED PROJECT



reply via email to

[Prev in Thread] Current Thread [Next in Thread]