qemu-devel
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Qemu-devel] [PATCH 7/8] Introduce xilinx dp.


From: fred . konrad
Subject: [Qemu-devel] [PATCH 7/8] Introduce xilinx dp.
Date: Wed, 13 May 2015 21:12:05 +0200

From: KONRAD Frederic <address@hidden>

This is the implementation of the DisplayPort.

It has an aux-bus to access dpcd and edid needed for the driver to complete.

Graphic plane is connected to the channel 3.
Video plane is connected to the channel 0.
Audio stream are connected to the channels 4 and 5.

Signed-off-by: KONRAD Frederic <address@hidden>
---
 hw/display/Makefile.objs |    2 +-
 hw/display/xilinx_dp.c   | 1454 ++++++++++++++++++++++++++++++++++++++++++++++
 hw/display/xilinx_dp.h   |  125 ++++
 3 files changed, 1580 insertions(+), 1 deletion(-)
 create mode 100644 hw/display/xilinx_dp.c
 create mode 100644 hw/display/xilinx_dp.h

diff --git a/hw/display/Makefile.objs b/hw/display/Makefile.objs
index f746cec..908411b 100644
--- a/hw/display/Makefile.objs
+++ b/hw/display/Makefile.objs
@@ -34,4 +34,4 @@ obj-$(CONFIG_CG3) += cg3.o
 obj-$(CONFIG_VGA) += vga.o
 
 common-obj-$(CONFIG_QXL) += qxl.o qxl-logger.o qxl-render.o
-common-obj-y += dpcd.o
+common-obj-y += dpcd.o xilinx_dp.o
diff --git a/hw/display/xilinx_dp.c b/hw/display/xilinx_dp.c
new file mode 100644
index 0000000..ca1df1b
--- /dev/null
+++ b/hw/display/xilinx_dp.c
@@ -0,0 +1,1454 @@
+/*
+ * xilinx_dp.c
+ *
+ *  Copyright (C) 2015 : GreenSocs Ltd
+ *      http://www.greensocs.com/ , email: address@hidden
+ *
+ *  Developed by :
+ *  Frederic Konrad   <address@hidden>
+ *
+ * This program is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation, either version 2 of the License, or
+ * (at your option)any later version.
+ *
+ * This program is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License along
+ * with this program; if not, see <http://www.gnu.org/licenses/>.
+ *
+ */
+
+#include "xilinx_dp.h"
+
+#ifndef DEBUG_DP
+#define DEBUG_DP 0
+#endif
+
+#define DPRINTF(fmt, ...) do {                                                 
\
+    if (DEBUG_DP) {                                                            
\
+        qemu_log("xilinx_dp: " fmt , ## __VA_ARGS__);                          
\
+    }                                                                          
\
+} while (0);
+
+/*
+ * Register offset for DP.
+ */
+#define DP_LINK_BW_SET                      (0x00000000 >> 2)
+#define DP_LANE_COUNT_SET                   (0x00000004 >> 2)
+#define DP_ENHANCED_FRAME_EN                (0x00000008 >> 2)
+#define DP_TRAINING_PATTERN_SET             (0x0000000C >> 2)
+#define DP_LINK_QUAL_PATTERN_SET            (0x00000010 >> 2)
+#define DP_SCRAMBLING_DISABLE               (0x00000014 >> 2)
+#define DP_DOWNSPREAD_CTRL                  (0x00000018 >> 2)
+#define DP_SOFTWARE_RESET                   (0x0000001C >> 2)
+#define DP_TRANSMITTER_ENABLE               (0x00000080 >> 2)
+#define DP_MAIN_STREAM_ENABLE               (0x00000084 >> 2)
+#define DP_FORCE_SCRAMBLER_RESET            (0x000000C0 >> 2)
+#define DP_VERSION_REGISTER                 (0x000000F8 >> 2)
+#define DP_CORE_ID                          (0x000000FC >> 2)
+#define DP_AUX_COMMAND_REGISTER             (0x00000100 >> 2)
+#define AUX_ADDR_ONLY_MASK                  (0x00001000)
+#define AUX_COMMAND_MASK                    (0x00000F00)
+#define AUX_COMMAND_SHIFT                   (8)
+#define AUX_COMMAND_NBYTES                  (0x0000000F)
+#define DP_AUX_WRITE_FIFO                   (0x00000104 >> 2)
+#define DP_AUX_ADDRESS                      (0x00000108 >> 2)
+#define DP_AUX_CLOCK_DIVIDER                (0x0000010C >> 2)
+#define DP_TX_USER_FIFO_OVERFLOW            (0x00000110 >> 2)
+#define DP_INTERRUPT_SIGNAL_STATE           (0x00000130 >> 2)
+#define DP_AUX_REPLY_DATA                   (0x00000134 >> 2)
+#define DP_AUX_REPLY_CODE                   (0x00000138 >> 2)
+#define DP_AUX_REPLY_COUNT                  (0x0000013C >> 2)
+#define DP_REPLY_DATA_COUNT                 (0x00000148 >> 2)
+#define DP_REPLY_STATUS                     (0x0000014C >> 2)
+#define DP_HPD_DURATION                     (0x00000150 >> 2)
+#define DP_MAIN_STREAM_HTOTAL               (0x00000180 >> 2)
+#define DP_MAIN_STREAM_VTOTAL               (0x00000184 >> 2)
+#define DP_MAIN_STREAM_POLARITY             (0x00000188 >> 2)
+#define DP_MAIN_STREAM_HSWIDTH              (0x0000018C >> 2)
+#define DP_MAIN_STREAM_VSWIDTH              (0x00000190 >> 2)
+#define DP_MAIN_STREAM_HRES                 (0x00000194 >> 2)
+#define DP_MAIN_STREAM_VRES                 (0x00000198 >> 2)
+#define DP_MAIN_STREAM_HSTART               (0x0000019C >> 2)
+#define DP_MAIN_STREAM_VSTART               (0x000001A0 >> 2)
+#define DP_MAIN_STREAM_MISC0                (0x000001A4 >> 2)
+#define DP_MAIN_STREAM_MISC1                (0x000001A8 >> 2)
+#define DP_MAIN_STREAM_M_VID                (0x000001AC >> 2)
+#define DP_MSA_TRANSFER_UNIT_SIZE           (0x000001B0 >> 2)
+#define DP_MAIN_STREAM_N_VID                (0x000001B4 >> 2)
+#define DP_USER_DATA_COUNT_PER_LANE         (0x000001BC >> 2)
+#define DP_MIN_BYTES_PER_TU                 (0x000001C4 >> 2)
+#define DP_FRAC_BYTES_PER_TU                (0x000001C8 >> 2)
+#define DP_INIT_WAIT                        (0x000001CC >> 2)
+#define DP_PHY_RESET                        (0x00000200 >> 2)
+#define DP_PHY_VOLTAGE_DIFF_LANE_0          (0x00000220 >> 2)
+#define DP_PHY_VOLTAGE_DIFF_LANE_1          (0x00000224 >> 2)
+#define DP_TRANSMIT_PRBS7                   (0x00000230 >> 2)
+#define DP_PHY_CLOCK_SELECT                 (0x00000234 >> 2)
+#define DP_TX_PHY_POWER_DOWN                (0x00000238 >> 2)
+#define DP_PHY_PRECURSOR_LANE_0             (0x0000023C >> 2)
+#define DP_PHY_PRECURSOR_LANE_1             (0x00000240 >> 2)
+#define DP_PHY_POSTCURSOR_LANE_0            (0x0000024C >> 2)
+#define DP_PHY_POSTCURSOR_LANE_1            (0x00000250 >> 2)
+#define DP_PHY_STATUS                       (0x00000280 >> 2)
+#define DP_TX_AUDIO_CONTROL                 (0x00000300 >> 2)
+#define DP_TX_AUDIO_CHANNELS                (0x00000304 >> 2)
+#define DP_TX_AUDIO_INFO_DATA0              (0x00000308 >> 2)
+#define DP_TX_AUDIO_INFO_DATA1              (0x0000030C >> 2)
+#define DP_TX_AUDIO_INFO_DATA2              (0x00000310 >> 2)
+#define DP_TX_AUDIO_INFO_DATA3              (0x00000314 >> 2)
+#define DP_TX_AUDIO_INFO_DATA4              (0x00000318 >> 2)
+#define DP_TX_AUDIO_INFO_DATA5              (0x0000031C >> 2)
+#define DP_TX_AUDIO_INFO_DATA6              (0x00000320 >> 2)
+#define DP_TX_AUDIO_INFO_DATA7              (0x00000324 >> 2)
+#define DP_TX_M_AUD                         (0x00000328 >> 2)
+#define DP_TX_N_AUD                         (0x0000032C >> 2)
+#define DP_TX_AUDIO_EXT_DATA0               (0x00000330 >> 2)
+#define DP_TX_AUDIO_EXT_DATA1               (0x00000334 >> 2)
+#define DP_TX_AUDIO_EXT_DATA2               (0x00000338 >> 2)
+#define DP_TX_AUDIO_EXT_DATA3               (0x0000033C >> 2)
+#define DP_TX_AUDIO_EXT_DATA4               (0x00000340 >> 2)
+#define DP_TX_AUDIO_EXT_DATA5               (0x00000344 >> 2)
+#define DP_TX_AUDIO_EXT_DATA6               (0x00000348 >> 2)
+#define DP_TX_AUDIO_EXT_DATA7               (0x0000034C >> 2)
+#define DP_TX_AUDIO_EXT_DATA8               (0x00000350 >> 2)
+#define DP_INT_STATUS                       (0x000003A0 >> 2)
+#define DP_INT_MASK                         (0x000003A4 >> 2)
+#define DP_INT_EN                           (0x000003A8 >> 2)
+#define DP_INT_DS                           (0x000003AC >> 2)
+
+/*
+ * Registers offset for Audio Video Buffer configuration.
+ */
+#define V_BLEND_OFFSET                      (0x0000A000)
+#define V_BLEND_BG_CLR_0                    (0x00000000 >> 2)
+#define V_BLEND_BG_CLR_1                    (0x00000004 >> 2)
+#define V_BLEND_BG_CLR_2                    (0x00000008 >> 2)
+#define V_BLEND_SET_GLOBAL_ALPHA_REG        (0x0000000C >> 2)
+#define V_BLEND_OUTPUT_VID_FORMAT           (0x00000014 >> 2)
+#define V_BLEND_LAYER0_CONTROL              (0x00000018 >> 2)
+#define V_BLEND_LAYER1_CONTROL              (0x0000001C >> 2)
+#define V_BLEND_RGB2YCBCR_COEFF0            (0x00000020 >> 2)
+#define V_BLEND_RGB2YCBCR_COEFF1            (0x00000024 >> 2)
+#define V_BLEND_RGB2YCBCR_COEFF2            (0x00000028 >> 2)
+#define V_BLEND_RGB2YCBCR_COEFF3            (0x0000002C >> 2)
+#define V_BLEND_RGB2YCBCR_COEFF4            (0x00000030 >> 2)
+#define V_BLEND_RGB2YCBCR_COEFF5            (0x00000034 >> 2)
+#define V_BLEND_RGB2YCBCR_COEFF6            (0x00000038 >> 2)
+#define V_BLEND_RGB2YCBCR_COEFF7            (0x0000003C >> 2)
+#define V_BLEND_RGB2YCBCR_COEFF8            (0x00000040 >> 2)
+#define V_BLEND_IN1CSC_COEFF0               (0x00000044 >> 2)
+#define V_BLEND_IN1CSC_COEFF1               (0x00000048 >> 2)
+#define V_BLEND_IN1CSC_COEFF2               (0x0000004C >> 2)
+#define V_BLEND_IN1CSC_COEFF3               (0x00000050 >> 2)
+#define V_BLEND_IN1CSC_COEFF4               (0x00000054 >> 2)
+#define V_BLEND_IN1CSC_COEFF5               (0x00000058 >> 2)
+#define V_BLEND_IN1CSC_COEFF6               (0x0000005C >> 2)
+#define V_BLEND_IN1CSC_COEFF7               (0x00000060 >> 2)
+#define V_BLEND_IN1CSC_COEFF8               (0x00000064 >> 2)
+#define V_BLEND_LUMA_IN1CSC_OFFSET          (0x00000068 >> 2)
+#define V_BLEND_CR_IN1CSC_OFFSET            (0x0000006C >> 2)
+#define V_BLEND_CB_IN1CSC_OFFSET            (0x00000070 >> 2)
+#define V_BLEND_LUMA_OUTCSC_OFFSET          (0x00000074 >> 2)
+#define V_BLEND_CR_OUTCSC_OFFSET            (0x00000078 >> 2)
+#define V_BLEND_CB_OUTCSC_OFFSET            (0x0000007C >> 2)
+#define V_BLEND_IN2CSC_COEFF0               (0x00000080 >> 2)
+#define V_BLEND_IN2CSC_COEFF1               (0x00000084 >> 2)
+#define V_BLEND_IN2CSC_COEFF2               (0x00000088 >> 2)
+#define V_BLEND_IN2CSC_COEFF3               (0x0000008C >> 2)
+#define V_BLEND_IN2CSC_COEFF4               (0x00000090 >> 2)
+#define V_BLEND_IN2CSC_COEFF5               (0x00000094 >> 2)
+#define V_BLEND_IN2CSC_COEFF6               (0x00000098 >> 2)
+#define V_BLEND_IN2CSC_COEFF7               (0x0000009C >> 2)
+#define V_BLEND_IN2CSC_COEFF8               (0x000000A0 >> 2)
+#define V_BLEND_LUMA_IN2CSC_OFFSET          (0x000000A4 >> 2)
+#define V_BLEND_CR_IN2CSC_OFFSET            (0x000000A8 >> 2)
+#define V_BLEND_CB_IN2CSC_OFFSET            (0x000000AC >> 2)
+#define V_BLEND_CHROMA_KEY_ENABLE           (0x000001D0 >> 2)
+#define V_BLEND_CHROMA_KEY_COMP1            (0x000001D4 >> 2)
+#define V_BLEND_CHROMA_KEY_COMP2            (0x000001D8 >> 2)
+#define V_BLEND_CHROMA_KEY_COMP3            (0x000001DC >> 2)
+
+/*
+ * Registers offset for Audio Video Buffer configuration.
+ */
+#define AV_BUF_MANAGER_OFFSET               (0x0000B000)
+#define AV_BUF_FORMAT                       (0x00000000 >> 2)
+#define AV_BUF_NON_LIVE_LATENCY             (0x00000008 >> 2)
+#define AV_CHBUF0                           (0x00000010 >> 2)
+#define AV_CHBUF1                           (0x00000014 >> 2)
+#define AV_CHBUF2                           (0x00000018 >> 2)
+#define AV_CHBUF3                           (0x0000001C >> 2)
+#define AV_CHBUF4                           (0x00000020 >> 2)
+#define AV_CHBUF5                           (0x00000024 >> 2)
+#define AV_BUF_STC_CONTROL                  (0x0000002C >> 2)
+#define AV_BUF_STC_INIT_VALUE0              (0x00000030 >> 2)
+#define AV_BUF_STC_INIT_VALUE1              (0x00000034 >> 2)
+#define AV_BUF_STC_ADJ                      (0x00000038 >> 2)
+#define AV_BUF_STC_VIDEO_VSYNC_TS_REG0      (0x0000003C >> 2)
+#define AV_BUF_STC_VIDEO_VSYNC_TS_REG1      (0x00000040 >> 2)
+#define AV_BUF_STC_EXT_VSYNC_TS_REG0        (0x00000044 >> 2)
+#define AV_BUF_STC_EXT_VSYNC_TS_REG1        (0x00000048 >> 2)
+#define AV_BUF_STC_CUSTOM_EVENT_TS_REG0     (0x0000004C >> 2)
+#define AV_BUF_STC_CUSTOM_EVENT_TS_REG1     (0x00000050 >> 2)
+#define AV_BUF_STC_CUSTOM_EVENT2_TS_REG0    (0x00000054 >> 2)
+#define AV_BUF_STC_CUSTOM_EVENT2_TS_REG1    (0x00000058 >> 2)
+#define AV_BUF_STC_SNAPSHOT0                (0x00000060 >> 2)
+#define AV_BUF_STC_SNAPSHOT1                (0x00000064 >> 2)
+#define AV_BUF_OUTPUT_AUDIO_VIDEO_SELECT    (0x00000070 >> 2)
+#define AV_BUF_HCOUNT_VCOUNT_INT0           (0x00000074 >> 2)
+#define AV_BUF_HCOUNT_VCOUNT_INT1           (0x00000078 >> 2)
+#define AV_BUF_DITHER_CONFIG                (0x0000007C >> 2)
+#define AV_BUF_DITHER_CONFIG_MAX            (0x0000008C >> 2)
+#define AV_BUF_DITHER_CONFIG_MIN            (0x00000090 >> 2)
+#define AV_BUF_PATTERN_GEN_SELECT           (0x00000100 >> 2)
+#define AV_BUF_AUD_VID_CLK_SOURCE           (0x00000120 >> 2)
+#define AV_BUF_SRST_REG                     (0x00000124 >> 2)
+#define AV_BUF_AUDIO_RDY_INTERVAL           (0x00000128 >> 2)
+#define AV_BUF_AUDIO_CH_CONFIG              (0x0000012C >> 2)
+#define AV_BUF_GRAPHICS_COMP0_SCALE_FACTOR  (0x00000200 >> 2)
+#define AV_BUF_GRAPHICS_COMP1_SCALE_FACTOR  (0x00000204 >> 2)
+#define AV_BUF_GRAPHICS_COMP2_SCALE_FACTOR  (0x00000208 >> 2)
+#define AV_BUF_VIDEO_COMP0_SCALE_FACTOR     (0x0000020C >> 2)
+#define AV_BUF_VIDEO_COMP1_SCALE_FACTOR     (0x00000210 >> 2)
+#define AV_BUF_VIDEO_COMP2_SCALE_FACTOR     (0x00000214 >> 2)
+#define AV_BUF_LIVE_VIDEO_COMP0_SF          (0x00000218 >> 2)
+#define AV_BUF_LIVE_VIDEO_COMP1_SF          (0x0000021C >> 2)
+#define AV_BUF_LIVE_VIDEO_COMP2_SF          (0x00000220 >> 2)
+#define AV_BUF_LIVE_VID_CONFIG              (0x00000224 >> 2)
+#define AV_BUF_LIVE_GFX_COMP0_SF            (0x00000228 >> 2)
+#define AV_BUF_LIVE_GFX_COMP1_SF            (0x0000022C >> 2)
+#define AV_BUF_LIVE_GFX_COMP2_SF            (0x00000230 >> 2)
+#define AV_BUF_LIVE_GFX_CONFIG              (0x00000234 >> 2)
+
+#define AUDIO_MIXER_REGISTER_OFFSET         (0x0000C000)
+#define AUDIO_MIXER_VOLUME_CONTROL          (0x00000000 >> 2)
+#define AUDIO_MIXER_META_DATA               (0x00000004 >> 2)
+#define AUD_CH_STATUS_REG0                  (0x00000008 >> 2)
+#define AUD_CH_STATUS_REG1                  (0x0000000C >> 2)
+#define AUD_CH_STATUS_REG2                  (0x00000010 >> 2)
+#define AUD_CH_STATUS_REG3                  (0x00000014 >> 2)
+#define AUD_CH_STATUS_REG4                  (0x00000018 >> 2)
+#define AUD_CH_STATUS_REG5                  (0x0000001C >> 2)
+#define AUD_CH_A_DATA_REG0                  (0x00000020 >> 2)
+#define AUD_CH_A_DATA_REG1                  (0x00000024 >> 2)
+#define AUD_CH_A_DATA_REG2                  (0x00000028 >> 2)
+#define AUD_CH_A_DATA_REG3                  (0x0000002C >> 2)
+#define AUD_CH_A_DATA_REG4                  (0x00000030 >> 2)
+#define AUD_CH_A_DATA_REG5                  (0x00000034 >> 2)
+#define AUD_CH_B_DATA_REG0                  (0x00000038 >> 2)
+#define AUD_CH_B_DATA_REG1                  (0x0000003C >> 2)
+#define AUD_CH_B_DATA_REG2                  (0x00000040 >> 2)
+#define AUD_CH_B_DATA_REG3                  (0x00000044 >> 2)
+#define AUD_CH_B_DATA_REG4                  (0x00000048 >> 2)
+#define AUD_CH_B_DATA_REG5                  (0x0000004C >> 2)
+
+typedef enum dp_graphic_fmt {
+    DP_GRAPHIC_RGBA8888 = 0 << 8,
+    DP_GRAPHIC_ABGR8888 = 1 << 8,
+    DP_GRAPHIC_RGB888 = 2 << 8,
+    DP_GRAPHIC_BGR888 = 3 << 8,
+    DP_GRAPHIC_RGBA5551 = 4 << 8,
+    DP_GRAPHIC_RGBA4444 = 5 << 8,
+    DP_GRAPHIC_RGB565 = 6 << 8,
+    DP_GRAPHIC_8BPP = 7 << 8,
+    DP_GRAPHIC_4BPP = 8 << 8,
+    DP_GRAPHIC_2BPP = 9 << 8,
+    DP_GRAPHIC_1BPP = 10 << 8,
+    DP_GRAPHIC_MASK = 0xF << 8
+} dp_graphic_fmt;
+
+typedef enum dp_video_fmt {
+    DP_NL_VID_CB_Y0_CR_Y1 = 0,
+    DP_NL_VID_CR_Y0_CB_Y1 = 1,
+    DP_NL_VID_Y0_CR_Y1_CB = 2,
+    DP_NL_VID_Y0_CB_Y1_CR = 3,
+    DP_NL_VID_YV16 = 4,
+    DP_NL_VID_YV24 = 5,
+    DP_NL_VID_YV16CL = 6,
+    DP_NL_VID_MONO = 7,
+    DP_NL_VID_YV16CL2 = 8,
+    DP_NL_VID_YUV444 = 9,
+    DP_NL_VID_RGB888 = 10,
+    DP_NL_VID_RGBA8880 = 11,
+    DP_NL_VID_RGB888_10BPC = 12,
+    DP_NL_VID_YUV444_10BPC = 13,
+    DP_NL_VID_YV16CL2_10BPC = 14,
+    DP_NL_VID_YV16CL_10BPC = 15,
+    DP_NL_VID_YV16_10BPC = 16,
+    DP_NL_VID_YV24_10BPC = 17,
+    DP_NL_VID_Y_ONLY_10BPC = 18,
+    DP_NL_VID_YV16_420 = 19,
+    DP_NL_VID_YV16CL_420 = 20,
+    DP_NL_VID_YV16CL2_420 = 21,
+    DP_NL_VID_YV16_420_10BPC = 22,
+    DP_NL_VID_YV16CL_420_10BPC = 23,
+    DP_NL_VID_YV16CL2_420_10BPC = 24,
+    DP_NL_VID_FMT_MASK = 0x1F
+} dp_video_fmt;
+
+static const VMStateDescription vmstate_dp = {
+    .name = TYPE_XILINX_DP,
+    .version_id = 1,
+    .fields = (VMStateField[]){
+
+        VMSTATE_END_OF_LIST()
+    }
+};
+
+static void xilinx_dp_update_irq(XilinxDPState *s);
+
+static uint64_t xilinx_dp_audio_read(void *opaque, hwaddr offset, unsigned 
size)
+{
+    XilinxDPState *s = XILINX_DP(opaque);
+    assert(size == 4);
+    assert((offset % 4) == 0);
+
+    offset = offset >> 2;
+
+    switch (offset) {
+    default:
+        return s->audio_registers[offset];
+    break;
+    }
+}
+
+static void xilinx_dp_audio_write(void *opaque, hwaddr offset, uint64_t value,
+                                  unsigned size)
+{
+    XilinxDPState *s = XILINX_DP(opaque);
+    assert(size == 4);
+    assert((offset % 4) == 0);
+
+    offset = offset >> 2;
+
+    switch (offset) {
+    case AUDIO_MIXER_META_DATA:
+        s->audio_registers[offset] = value & 0x00000001;
+    break;
+    default:
+        s->audio_registers[offset] = value;
+    break;
+    }
+}
+
+static const MemoryRegionOps audio_ops = {
+    .read = xilinx_dp_audio_read,
+    .write = xilinx_dp_audio_write,
+    .endianness = DEVICE_NATIVE_ENDIAN,
+};
+
+static inline uint32_t xilinx_dp_audio_get_volume(XilinxDPState *s,
+                                                  uint8_t channel)
+{
+    switch (channel) {
+    case 0:
+        return s->audio_registers[AUDIO_MIXER_VOLUME_CONTROL] & 0xFFFF;
+        break;
+    case 1:
+        return (s->audio_registers[AUDIO_MIXER_VOLUME_CONTROL] >> 16) & 0xFFFF;
+        break;
+    default:
+        return 0;
+        break;
+    }
+}
+
+static inline uint8_t xilinx_dp_audio_get_channel_count(XilinxDPState *s)
+{
+    return (s->core_registers[DP_TX_AUDIO_CHANNELS] & 0x00000007) + 1;
+}
+
+static inline void xilinx_dp_audio_activate(XilinxDPState *s)
+{
+    bool activated =
+                ((s->core_registers[DP_TX_AUDIO_CONTROL] & 0x00000001) != 0);
+    activated &= (xilinx_dp_audio_get_channel_count(s) > 0);
+    AUD_set_active_out(s->amixer_output_stream, activated);
+    xilinx_dpdma_set_host_data_location(s->dpdma, 4, &s->audio_buffer_0);
+    xilinx_dpdma_set_host_data_location(s->dpdma, 5, &s->audio_buffer_1);
+}
+
+static inline void xilinx_dp_audio_mix_buffer(XilinxDPState *s)
+{
+    /*
+     * Audio packets are signed and have this shape:
+     * | 16 | 16 | 16 | 16 | 16 | 16 | 16 | 16 |
+     * | R3 | L3 | R2 | L2 | R1 | L1 | R0 | L0 |
+     *
+     * Output audio is 16bits saturated.
+     */
+    int i;
+
+    if ((s->audio_data_available[0]) && (xilinx_dp_audio_get_volume(s, 0))) {
+        for (i = 0; i < s->audio_data_available[0] / 2; i++) {
+            s->temp_buffer[i] = (int64_t)(s->audio_buffer_0[i])
+                              * xilinx_dp_audio_get_volume(s, 0) / 8192;
+        }
+        s->byte_left = s->audio_data_available[0];
+    } else {
+        memset(s->temp_buffer, 0, s->audio_data_available[1] / 2);
+    }
+
+    if ((s->audio_data_available[1]) && (xilinx_dp_audio_get_volume(s, 1))) {
+        if ((s->audio_data_available[0] == 0)
+        || (s->audio_data_available[1] == s->audio_data_available[0])) {
+            for (i = 0; i < s->audio_data_available[1] / 2; i++) {
+                s->temp_buffer[i] += (int64_t)(s->audio_buffer_1[i])
+                                   * xilinx_dp_audio_get_volume(s, 1) / 8192;
+            }
+            s->byte_left = s->audio_data_available[1];
+        }
+    }
+
+    for (i = 0; i < s->byte_left / 2; i++) {
+        s->out_buffer[i] = s->temp_buffer[i];
+        if (s->temp_buffer[i] < -32767) {
+            s->out_buffer[i] = -32767;
+        }
+        if (s->temp_buffer[i] > 32767) {
+            s->out_buffer[i] = 32767;
+        }
+    }
+
+    s->data_ptr = 0;
+}
+
+static void xilinx_dp_audio_callback(void *opaque, int avail)
+{
+    /*
+     * Get some data from the DPDMA and compute them. Then wait QEMU's audio
+     * subsystem to call this callback.
+     */
+    XilinxDPState *s = XILINX_DP(opaque);
+    size_t written = 0;
+    static uint8_t buffer;
+
+    /* If there are already some data don't get more data. */
+    if (s->byte_left == 0) {
+        buffer++;
+        s->audio_data_available[0] = xilinx_dpdma_start_operation(s->dpdma, 4,
+                                                                  true);
+        if (xilinx_dp_audio_get_channel_count(s) > 1) {
+            s->audio_data_available[1] =
+               xilinx_dpdma_start_operation(s->dpdma, 5, true);
+        }
+        xilinx_dp_audio_mix_buffer(s);
+    }
+
+    /* Send the buffer through the audio. */
+    if (s->byte_left <= MAX_QEMU_BUFFER_SIZE) {
+        if (s->byte_left != 0) {
+            written = AUD_write(s->amixer_output_stream,
+                                &s->out_buffer[s->data_ptr], s->byte_left);
+        } else {
+            /*
+             * There is nothing to play.. We don't have any data! Fill the
+             * buffer with zero's and send it.
+             */
+            written = 0;
+            memset(s->out_buffer, 0, 1024);
+            AUD_write(s->amixer_output_stream, s->out_buffer, 1024);
+        }
+    } else {
+        written = AUD_write(s->amixer_output_stream,
+                            &s->out_buffer[s->data_ptr], MAX_QEMU_BUFFER_SIZE);
+    }
+    s->byte_left -= written;
+    s->data_ptr += written;
+}
+
+/*
+ * AUX channel related function.
+ */
+static void xilinx_dp_aux_clear_rx_fifo(XilinxDPState *s)
+{
+    fifo8_reset(&s->rx_fifo);
+}
+
+static void xilinx_dp_aux_push_rx_fifo(XilinxDPState *s, uint8_t *buf,
+                                       size_t len)
+{
+    int i;
+
+    DPRINTF("Push %u data in rx_fifo\n", (unsigned)len);
+    for (i = 0; i < len; i++) {
+        if (fifo8_is_full(&s->rx_fifo)) {
+            DPRINTF("rx_fifo overflow..\n");
+            abort();
+        }
+        fifo8_push(&s->rx_fifo, buf[i]);
+    }
+}
+
+static uint8_t xilinx_dp_aux_pop_rx_fifo(XilinxDPState *s)
+{
+    uint8_t ret;
+
+    if (fifo8_is_empty(&s->rx_fifo)) {
+        DPRINTF("rx_fifo underflow..\n");
+        abort();
+    }
+    ret = fifo8_pop(&s->rx_fifo);
+    DPRINTF("pop 0x%2.2X from rx_fifo.\n", ret);
+    return ret;
+}
+
+static void xilinx_dp_aux_clear_tx_fifo(XilinxDPState *s)
+{
+    fifo8_reset(&s->tx_fifo);
+}
+
+static void xilinx_dp_aux_push_tx_fifo(XilinxDPState *s, uint8_t *buf,
+                                       size_t len)
+{
+    int i;
+
+    DPRINTF("Push %u data in tx_fifo\n", (unsigned)len);
+    for (i = 0; i < len; i++) {
+        if (fifo8_is_full(&s->tx_fifo)) {
+            DPRINTF("tx_fifo overflow..\n");
+            abort();
+        }
+        fifo8_push(&s->tx_fifo, buf[i]);
+    }
+}
+
+static uint8_t xilinx_dp_aux_pop_tx_fifo(XilinxDPState *s)
+{
+    uint8_t ret;
+
+    if (fifo8_is_empty(&s->tx_fifo)) {
+        DPRINTF("tx_fifo underflow..\n");
+        abort();
+    }
+    ret = fifo8_pop(&s->tx_fifo);
+    DPRINTF("pop 0x%2.2X from tx_fifo.\n", ret);
+    return ret;
+}
+
+static uint32_t xilinx_dp_aux_get_address(XilinxDPState *s)
+{
+    return s->core_registers[DP_AUX_ADDRESS];
+}
+
+static uint8_t xilinx_dp_aux_get_data(XilinxDPState *s)
+{
+    return xilinx_dp_aux_pop_rx_fifo(s);
+}
+
+static void xilinx_dp_aux_set_data(XilinxDPState *s, uint8_t value)
+{
+    xilinx_dp_aux_push_tx_fifo(s, &value, 1);
+}
+
+/*
+ * Get command from the register.
+ */
+static void xilinx_dp_aux_set_command(XilinxDPState *s, uint32_t value)
+{
+    /*
+     * XXX: What happen in the corner case, eg: fifo under/overflow?
+     */
+    bool address_only = (value & AUX_ADDR_ONLY_MASK) != 0;
+    aux_command cmd = (value & AUX_COMMAND_MASK) >> AUX_COMMAND_SHIFT;
+    uint8_t nbytes = (value & AUX_COMMAND_NBYTES) + 1;
+    uint8_t buf[16];
+    int i;
+
+    /*
+     * When an address_only command is executed nothing happen to the fifo, so
+     * just make nbytes = 0.
+     */
+    if (address_only) {
+        nbytes = 0;
+    }
+
+    switch (cmd) {
+    case READ_AUX:
+    case READ_I2C:
+    case READ_I2C_MOT:
+        s->core_registers[DP_AUX_REPLY_CODE] = aux_request(s->aux_bus, cmd,
+                                               xilinx_dp_aux_get_address(s),
+                                               nbytes, buf);
+        s->core_registers[DP_REPLY_DATA_COUNT] = nbytes;
+
+        if (s->core_registers[DP_AUX_REPLY_CODE] == AUX_I2C_ACK) {
+            xilinx_dp_aux_push_rx_fifo(s, buf, nbytes);
+        }
+    break;
+    case WRITE_AUX:
+    case WRITE_I2C:
+    case WRITE_I2C_MOT:
+        for (i = 0; i < nbytes; i++) {
+            buf[i] = xilinx_dp_aux_pop_tx_fifo(s);
+        }
+        s->core_registers[DP_AUX_REPLY_CODE] = aux_request(s->aux_bus, cmd,
+                                               xilinx_dp_aux_get_address(s),
+                                               nbytes, buf);
+        xilinx_dp_aux_clear_tx_fifo(s);
+    break;
+    case WRITE_I2C_STATUS:
+    default:
+        abort();
+    break;
+    }
+
+    /*
+     * XXX: Trigger an interrupt here?
+     * The reply is received.. so just assert the flag.
+     */
+    s->core_registers[DP_INTERRUPT_SIGNAL_STATE] |= 0x04;
+}
+
+static void xilinx_dp_set_dpdma(Object *obj, const char *name, Object *val,
+                                Error **errp)
+{
+    XilinxDPState *s = XILINX_DP(obj);
+    if (s->console) {
+        DisplaySurface *surface = qemu_console_surface(s->console);
+        XilinxDPDMAState *dma = XILINX_DPDMA(val);
+        xilinx_dpdma_set_host_data_location(dma, 3, surface_data(surface));
+    }
+}
+
+/*
+ * Recreate the surfaces for the DP.
+ * This happen after a resolution or format change.
+ */
+static void xilinx_dp_recreate_surface(XilinxDPState *s)
+{
+    uint16_t width = s->core_registers[DP_MAIN_STREAM_HRES];
+    uint16_t height = s->core_registers[DP_MAIN_STREAM_VRES];
+
+    if ((width != 0) && (height != 0)) {
+        s->planes[0].surface
+                = qemu_create_displaysurface_format(s->planes[0].format,
+                                                    width, height);
+        dpy_gfx_replace_surface(s->console, s->planes[0].surface);
+        xilinx_dpdma_set_host_data_location(s->dpdma, 3,
+                                            
surface_data(s->planes[0].surface));
+        /* Do the same for the blending plane. */
+        qemu_free_displaysurface(s->planes[1].surface);
+        s->planes[1].surface
+                = qemu_create_displaysurface_format(s->planes[1].format,
+                                                    width, height);
+        xilinx_dpdma_set_host_data_location(s->dpdma, 0,
+                                            
surface_data(s->planes[1].surface));
+    }
+}
+
+/*
+ * Change the graphic format of the surface.
+ * XXX: To be completed.
+ */
+static void xilinx_dp_change_graphic_fmt(XilinxDPState *s)
+{
+    switch (s->avbufm_registers[AV_BUF_FORMAT] & DP_GRAPHIC_MASK) {
+    case DP_GRAPHIC_RGBA8888:
+        s->planes[0].format = PIXMAN_r8g8b8a8;
+        break;
+    case DP_GRAPHIC_ABGR8888:
+        s->planes[0].format = PIXMAN_a8b8g8r8;
+        break;
+    case DP_GRAPHIC_RGB565:
+        s->planes[0].format = PIXMAN_r5g6b5;
+        break;
+    case DP_GRAPHIC_RGB888:
+        s->planes[0].format = PIXMAN_r8g8b8;
+        break;
+    case DP_GRAPHIC_BGR888:
+        s->planes[0].format = PIXMAN_b8g8r8;
+        break;
+    default:
+        DPRINTF("error: unsupported graphic format %u.\n",
+                s->avbufm_registers[AV_BUF_FORMAT] & DP_GRAPHIC_MASK);
+        abort();
+        break;
+    }
+
+    switch (s->avbufm_registers[AV_BUF_FORMAT] & DP_NL_VID_FMT_MASK) {
+    case 0:
+        s->planes[1].format = PIXMAN_r8g8b8a8;
+        break;
+    case DP_NL_VID_RGBA8880:
+        s->planes[1].format = PIXMAN_r8g8b8a8;
+        break;
+    default:
+        DPRINTF("error: unsupported video format %u.\n",
+                s->avbufm_registers[AV_BUF_FORMAT] & DP_NL_VID_FMT_MASK);
+        abort();
+        break;
+    }
+
+    xilinx_dp_recreate_surface(s);
+}
+
+static void xilinx_dp_update_irq(XilinxDPState *s)
+{
+    uint32_t flags;
+
+    flags = s->core_registers[DP_INT_STATUS] & ~s->core_registers[DP_INT_MASK];
+    DPRINTF("update IRQ value = %" PRIx32 "\n", flags);
+    qemu_set_irq(s->irq, flags != 0);
+}
+
+static uint64_t xilinx_dp_read(void *opaque, hwaddr offset, unsigned size)
+{
+    XilinxDPState *s = XILINX_DP(opaque);
+    uint64_t ret = 0;
+
+    assert(size == 4);
+    assert((offset % 4) == 0);
+    offset = offset >> 2;
+
+    switch (offset) {
+    /*
+     * Trying to read a write only register.
+     */
+    case DP_TX_USER_FIFO_OVERFLOW:
+        ret = s->core_registers[DP_TX_USER_FIFO_OVERFLOW];
+        s->core_registers[DP_TX_USER_FIFO_OVERFLOW] = 0;
+    break;
+    case DP_AUX_WRITE_FIFO:
+        ret = 0;
+    break;
+    case DP_AUX_REPLY_DATA:
+        ret = xilinx_dp_aux_get_data(s);
+    break;
+    case DP_INTERRUPT_SIGNAL_STATE:
+        /*
+         * XXX: Not sure it is the right thing to do actually.
+         * The register is not written by the device driver so it's stuck
+         * to 0x04.
+         */
+        ret = s->core_registers[DP_INTERRUPT_SIGNAL_STATE];
+        s->core_registers[DP_INTERRUPT_SIGNAL_STATE] &= ~0x04;
+    break;
+    case DP_TX_AUDIO_INFO_DATA0:
+    case DP_TX_AUDIO_INFO_DATA1:
+    case DP_TX_AUDIO_INFO_DATA2:
+    case DP_TX_AUDIO_INFO_DATA3:
+    case DP_TX_AUDIO_INFO_DATA4:
+    case DP_TX_AUDIO_INFO_DATA5:
+    case DP_TX_AUDIO_INFO_DATA6:
+    case DP_TX_AUDIO_INFO_DATA7:
+    case DP_TX_AUDIO_EXT_DATA0:
+    case DP_TX_AUDIO_EXT_DATA1:
+    case DP_TX_AUDIO_EXT_DATA2:
+    case DP_TX_AUDIO_EXT_DATA3:
+    case DP_TX_AUDIO_EXT_DATA4:
+    case DP_TX_AUDIO_EXT_DATA5:
+    case DP_TX_AUDIO_EXT_DATA6:
+    case DP_TX_AUDIO_EXT_DATA7:
+    case DP_TX_AUDIO_EXT_DATA8:
+        /* write only registers */
+        ret = 0;
+    break;
+    default:
+        assert(offset <= (0x3AC >> 2));
+        ret = s->core_registers[offset];
+    break;
+    }
+
+    DPRINTF("core read @%" PRIx64 " = 0x%8.8lX\n", offset << 2, ret);
+    return ret;
+}
+
+static void xilinx_dp_write(void *opaque, hwaddr offset, uint64_t value,
+                            unsigned size)
+{
+    XilinxDPState *s = XILINX_DP(opaque);
+
+    assert(size == 4);
+    assert((offset % 4) == 0);
+
+    DPRINTF("core write @%" PRIx64 " = 0x%8.8lX\n", offset, value);
+
+    offset = offset >> 2;
+
+    switch (offset) {
+    /*
+     * Only special write case are handled.
+     */
+    case DP_LINK_BW_SET:
+        s->core_registers[offset] = value & 0x000000FF;
+    break;
+    case DP_LANE_COUNT_SET:
+    case DP_MAIN_STREAM_MISC0:
+        s->core_registers[offset] = value & 0x0000000F;
+    break;
+    case DP_TRAINING_PATTERN_SET:
+    case DP_LINK_QUAL_PATTERN_SET:
+    case DP_MAIN_STREAM_POLARITY:
+    case DP_PHY_VOLTAGE_DIFF_LANE_0:
+    case DP_PHY_VOLTAGE_DIFF_LANE_1:
+        s->core_registers[offset] = value & 0x00000003;
+    break;
+    case DP_ENHANCED_FRAME_EN:
+    case DP_SCRAMBLING_DISABLE:
+    case DP_DOWNSPREAD_CTRL:
+    case DP_MAIN_STREAM_ENABLE:
+    case DP_TRANSMIT_PRBS7:
+        s->core_registers[offset] = value & 0x00000001;
+    break;
+    case DP_PHY_CLOCK_SELECT:
+        s->core_registers[offset] = value & 0x00000007;
+    case DP_SOFTWARE_RESET:
+        /*
+         * No need to update this bit as it's read '0'.
+         */
+        /*
+         * TODO: reset IP.
+         */
+    break;
+    case DP_TRANSMITTER_ENABLE:
+        s->core_registers[offset] = value & 0x01;
+    break;
+    case DP_FORCE_SCRAMBLER_RESET:
+        /*
+         * No need to update this bit as it's read '0'.
+         */
+        /*
+         * TODO: force a scrambler reset??
+         */
+    break;
+    case DP_AUX_COMMAND_REGISTER:
+        s->core_registers[offset] = value & 0x00001F0F;
+        xilinx_dp_aux_set_command(s, s->core_registers[offset]);
+    break;
+    case DP_MAIN_STREAM_HTOTAL:
+    case DP_MAIN_STREAM_VTOTAL:
+    case DP_MAIN_STREAM_HSTART:
+    case DP_MAIN_STREAM_VSTART:
+        s->core_registers[offset] = value & 0x0000FFFF;
+    break;
+    case DP_MAIN_STREAM_HRES:
+    case DP_MAIN_STREAM_VRES:
+        s->core_registers[offset] = value & 0x0000FFFF;
+        xilinx_dp_recreate_surface(s);
+    break;
+    case DP_MAIN_STREAM_HSWIDTH:
+    case DP_MAIN_STREAM_VSWIDTH:
+        s->core_registers[offset] = value & 0x00007FFF;
+    break;
+    case DP_MAIN_STREAM_MISC1:
+        s->core_registers[offset] = value & 0x00000086;
+    break;
+    case DP_MAIN_STREAM_M_VID:
+    case DP_MAIN_STREAM_N_VID:
+        s->core_registers[offset] = value & 0x00FFFFFF;
+    break;
+    case DP_MSA_TRANSFER_UNIT_SIZE:
+    case DP_MIN_BYTES_PER_TU:
+    case DP_INIT_WAIT:
+        s->core_registers[offset] = value & 0x00000007;
+    break;
+    case DP_USER_DATA_COUNT_PER_LANE:
+        s->core_registers[offset] = value & 0x0003FFFF;
+    break;
+    case DP_FRAC_BYTES_PER_TU:
+        s->core_registers[offset] = value & 0x000003FF;
+    break;
+    case DP_PHY_RESET:
+        s->core_registers[offset] = value & 0x00010003;
+        /*
+         * TODO: Reset something?
+         */
+    break;
+    case DP_TX_PHY_POWER_DOWN:
+        s->core_registers[offset] = value & 0x0000000F;
+        /*
+         * TODO: Power down things?
+         */
+    break;
+    case DP_AUX_WRITE_FIFO:
+        xilinx_dp_aux_set_data(s, value & 0x0000000F);
+    break;
+    case DP_AUX_CLOCK_DIVIDER:
+        /*
+         * XXX: Do we need to model that?
+         */
+    break;
+    case DP_AUX_REPLY_COUNT:
+        /*
+         * Writing to this register clear the counter.
+         */
+        s->core_registers[offset] = 0x00000000;
+    break;
+    case DP_AUX_ADDRESS:
+        s->core_registers[offset] = value & 0x000FFFFF;
+    break;
+    case DP_VERSION_REGISTER:
+    case DP_CORE_ID:
+    case DP_TX_USER_FIFO_OVERFLOW:
+    case DP_AUX_REPLY_DATA:
+    case DP_AUX_REPLY_CODE:
+    case DP_REPLY_DATA_COUNT:
+    case DP_REPLY_STATUS:
+    case DP_HPD_DURATION:
+        /*
+         * Write to read only location..
+         */
+    break;
+    case DP_TX_AUDIO_CONTROL:
+        s->core_registers[offset] = value & 0x00000001;
+        xilinx_dp_audio_activate(s);
+    break;
+    case DP_TX_AUDIO_CHANNELS:
+        s->core_registers[offset] = value & 0x00000007;
+        xilinx_dp_audio_activate(s);
+    break;
+    case DP_INT_STATUS:
+        s->core_registers[DP_INT_STATUS] &= ~value;
+        xilinx_dp_update_irq(s);
+    break;
+    case DP_INT_EN:
+        s->core_registers[DP_INT_MASK] &= ~value;
+        xilinx_dp_update_irq(s);
+    break;
+    case DP_INT_DS:
+        s->core_registers[DP_INT_MASK] |= ~value;
+        xilinx_dp_update_irq(s);
+    break;
+    default:
+        assert(offset <= (0x504C >> 2));
+        s->core_registers[offset] = value;
+    break;
+    }
+}
+
+static const MemoryRegionOps dp_ops = {
+    .read = xilinx_dp_read,
+    .write = xilinx_dp_write,
+    .endianness = DEVICE_NATIVE_ENDIAN,
+};
+
+/*
+ * This is to handle Read/Write to the Video Blender.
+ */
+static void xilinx_dp_vblend_write(void *opaque, hwaddr offset,
+                                   uint64_t value, unsigned size)
+{
+    XilinxDPState *s = XILINX_DP(opaque);
+    assert(size == 4);
+    assert((offset % 4) == 0);
+
+    DPRINTF("vblend: write @%" PRIx64 " = 0x%8.8lX\n", offset, value);
+
+    offset = offset >> 2;
+
+    switch (offset) {
+    case V_BLEND_BG_CLR_0:
+    case V_BLEND_BG_CLR_1:
+    case V_BLEND_BG_CLR_2:
+        s->vblend_registers[offset] = value & 0x00000FFF;
+    break;
+    case V_BLEND_SET_GLOBAL_ALPHA_REG:
+        s->vblend_registers[offset] = value & 0x000001FF;
+    break;
+    case V_BLEND_OUTPUT_VID_FORMAT:
+        /*
+         * TODO: create an enum for blended video format?
+         * We don't really care of this I guess as we will output it as RGB
+         * in the QEMU console.
+         */
+        s->vblend_registers[offset] = value & 0x00000017;
+    break;
+    case V_BLEND_LAYER0_CONTROL:
+    case V_BLEND_LAYER1_CONTROL:
+        s->vblend_registers[offset] = value & 0x00000103;
+    break;
+    case V_BLEND_RGB2YCBCR_COEFF0:
+    case V_BLEND_RGB2YCBCR_COEFF1:
+    case V_BLEND_RGB2YCBCR_COEFF2:
+    case V_BLEND_RGB2YCBCR_COEFF3:
+    case V_BLEND_RGB2YCBCR_COEFF4:
+    case V_BLEND_RGB2YCBCR_COEFF5:
+    case V_BLEND_RGB2YCBCR_COEFF6:
+    case V_BLEND_RGB2YCBCR_COEFF7:
+    case V_BLEND_RGB2YCBCR_COEFF8:
+    case V_BLEND_IN1CSC_COEFF0:
+    case V_BLEND_IN1CSC_COEFF1:
+    case V_BLEND_IN1CSC_COEFF2:
+    case V_BLEND_IN1CSC_COEFF3:
+    case V_BLEND_IN1CSC_COEFF4:
+    case V_BLEND_IN1CSC_COEFF5:
+    case V_BLEND_IN1CSC_COEFF6:
+    case V_BLEND_IN1CSC_COEFF7:
+    case V_BLEND_IN1CSC_COEFF8:
+    case V_BLEND_IN2CSC_COEFF0:
+    case V_BLEND_IN2CSC_COEFF1:
+    case V_BLEND_IN2CSC_COEFF2:
+    case V_BLEND_IN2CSC_COEFF3:
+    case V_BLEND_IN2CSC_COEFF4:
+    case V_BLEND_IN2CSC_COEFF5:
+    case V_BLEND_IN2CSC_COEFF6:
+    case V_BLEND_IN2CSC_COEFF7:
+    case V_BLEND_IN2CSC_COEFF8:
+        s->vblend_registers[offset] = value & 0x0000FFFF;
+    break;
+    case V_BLEND_LUMA_IN1CSC_OFFSET:
+    case V_BLEND_CR_IN1CSC_OFFSET:
+    case V_BLEND_CB_IN1CSC_OFFSET:
+    case V_BLEND_LUMA_IN2CSC_OFFSET:
+    case V_BLEND_CR_IN2CSC_OFFSET:
+    case V_BLEND_CB_IN2CSC_OFFSET:
+    case V_BLEND_LUMA_OUTCSC_OFFSET:
+    case V_BLEND_CR_OUTCSC_OFFSET:
+    case V_BLEND_CB_OUTCSC_OFFSET:
+        s->vblend_registers[offset] = value & 0x3FFF7FFF;
+    break;
+    case V_BLEND_CHROMA_KEY_ENABLE:
+        s->vblend_registers[offset] = value & 0x00000003;
+    break;
+    case V_BLEND_CHROMA_KEY_COMP1:
+    case V_BLEND_CHROMA_KEY_COMP2:
+    case V_BLEND_CHROMA_KEY_COMP3:
+        s->vblend_registers[offset] = value & 0x0FFF0FFF;
+    break;
+    default:
+        s->vblend_registers[offset] = value;
+    break;
+    }
+}
+
+static uint64_t xilinx_dp_vblend_read(void *opaque, hwaddr offset,
+                                      unsigned size)
+{
+    XilinxDPState *s = XILINX_DP(opaque);
+    uint32_t ret;
+
+    assert(size == 4);
+    assert((offset % 4) == 0);
+    offset = offset >> 2;
+
+    ret = s->vblend_registers[offset];
+    DPRINTF("vblend: read @%" PRIx64 " = 0x%8.8X\n", offset << 2, ret);
+    return ret;
+}
+
+static const MemoryRegionOps vblend_ops = {
+    .read = xilinx_dp_vblend_read,
+    .write = xilinx_dp_vblend_write,
+    .endianness = DEVICE_NATIVE_ENDIAN,
+};
+
+static inline uint8_t xilinx_dp_global_alpha_value(XilinxDPState *s)
+{
+    return (s->vblend_registers[V_BLEND_SET_GLOBAL_ALPHA_REG] & 0x1FE) >> 1;
+}
+
+static inline bool xilinx_dp_global_alpha_enabled(XilinxDPState *s)
+{
+    /*
+     * If the alpha is totally opaque (255) we don't consider the alpha is
+     * disabled to reduce CPU consumption.
+     */
+    return ((xilinx_dp_global_alpha_value(s) != 0xFF) &&
+           ((s->vblend_registers[V_BLEND_SET_GLOBAL_ALPHA_REG] & 0x01) != 0));
+}
+
+/*
+ * This is to handle Read/Write to the Audio Video buffer manager.
+ */
+static void xilinx_dp_avbufm_write(void *opaque, hwaddr offset, uint64_t value,
+                                   unsigned size)
+{
+    XilinxDPState *s = XILINX_DP(opaque);
+    assert(size == 4);
+    assert((offset % 4) == 0);
+
+    offset = offset >> 2;
+
+    switch (offset) {
+    case AV_BUF_FORMAT:
+        s->avbufm_registers[offset] = value & 0x00000FFF;
+        xilinx_dp_change_graphic_fmt(s);
+    break;
+    case AV_CHBUF0:
+    case AV_CHBUF1:
+    case AV_CHBUF2:
+    case AV_CHBUF3:
+    case AV_CHBUF4:
+    case AV_CHBUF5:
+        /*
+         * TODO: enable the operation?
+         */
+        s->avbufm_registers[offset] = value & 0x0000007F;
+    break;
+    case AV_BUF_OUTPUT_AUDIO_VIDEO_SELECT:
+        /*
+         * TODO:
+         *       - Pattern generator for both Audio and Video.
+         *       - An enumeration for the source?
+         */
+        s->avbufm_registers[offset] = value & 0x0000007F;
+    break;
+    case AV_BUF_DITHER_CONFIG:
+        s->avbufm_registers[offset] = value & 0x000007FF;
+    break;
+    case AV_BUF_DITHER_CONFIG_MAX:
+    case AV_BUF_DITHER_CONFIG_MIN:
+        s->avbufm_registers[offset] = value & 0x00000FFF;
+    break;
+    case AV_BUF_PATTERN_GEN_SELECT:
+        s->avbufm_registers[offset] = value & 0xFFFFFF03;
+    break;
+    case AV_BUF_AUD_VID_CLK_SOURCE:
+        s->avbufm_registers[offset] = value & 0x00000007;
+    break;
+    case AV_BUF_SRST_REG:
+        /*
+         * TODO: Reset the Audio Video Buffer Manager module?
+         */
+        s->avbufm_registers[offset] = value & 0x00000002;
+    break;
+    case AV_BUF_AUDIO_CH_CONFIG:
+        s->avbufm_registers[offset] = value & 0x00000003;
+    break;
+    case AV_BUF_GRAPHICS_COMP0_SCALE_FACTOR:
+    case AV_BUF_GRAPHICS_COMP1_SCALE_FACTOR:
+    case AV_BUF_GRAPHICS_COMP2_SCALE_FACTOR:
+    case AV_BUF_VIDEO_COMP0_SCALE_FACTOR:
+    case AV_BUF_VIDEO_COMP1_SCALE_FACTOR:
+    case AV_BUF_VIDEO_COMP2_SCALE_FACTOR:
+        s->avbufm_registers[offset] = value & 0x0000FFFF;
+    break;
+
+
+    case AV_BUF_LIVE_VIDEO_COMP0_SF:
+    case AV_BUF_LIVE_VIDEO_COMP1_SF:
+    case AV_BUF_LIVE_VIDEO_COMP2_SF:
+    case AV_BUF_LIVE_VID_CONFIG:
+    case AV_BUF_LIVE_GFX_COMP0_SF:
+    case AV_BUF_LIVE_GFX_COMP1_SF:
+    case AV_BUF_LIVE_GFX_COMP2_SF:
+    case AV_BUF_LIVE_GFX_CONFIG:
+    case AV_BUF_NON_LIVE_LATENCY:
+    case AV_BUF_STC_CONTROL:
+    case AV_BUF_STC_INIT_VALUE0:
+    case AV_BUF_STC_INIT_VALUE1:
+    case AV_BUF_STC_ADJ:
+    case AV_BUF_STC_VIDEO_VSYNC_TS_REG0:
+    case AV_BUF_STC_VIDEO_VSYNC_TS_REG1:
+    case AV_BUF_STC_EXT_VSYNC_TS_REG0:
+    case AV_BUF_STC_EXT_VSYNC_TS_REG1:
+    case AV_BUF_STC_CUSTOM_EVENT_TS_REG0:
+    case AV_BUF_STC_CUSTOM_EVENT_TS_REG1:
+    case AV_BUF_STC_CUSTOM_EVENT2_TS_REG0:
+    case AV_BUF_STC_CUSTOM_EVENT2_TS_REG1:
+    case AV_BUF_STC_SNAPSHOT0:
+    case AV_BUF_STC_SNAPSHOT1:
+    case AV_BUF_HCOUNT_VCOUNT_INT0:
+    case AV_BUF_HCOUNT_VCOUNT_INT1:
+        /*
+         * Non implemented.
+         */
+    break;
+    default:
+        s->avbufm_registers[offset] = value;
+    break;
+    }
+}
+
+static uint64_t xilinx_dp_avbufm_read(void *opaque, hwaddr offset,
+                                      unsigned size)
+{
+    XilinxDPState *s = XILINX_DP(opaque);
+    assert(size == 4);
+    assert((offset % 4) == 0);
+
+    offset = offset >> 2;
+
+    return s->avbufm_registers[offset];
+}
+
+static const MemoryRegionOps avbufm_ops = {
+    .read = xilinx_dp_avbufm_read,
+    .write = xilinx_dp_avbufm_write,
+    .endianness = DEVICE_NATIVE_ENDIAN,
+};
+
+/*
+ * This is a global alpha blending using pixman.
+ * Both graphic and video planes are multiplied with the global alpha
+ * coefficient and added.
+ * XXX: The result is stored in the graphic surface which is then displayed.
+ *      It might be better to have a destination plane which is associated to
+ *      the console.
+ */
+static inline void xilinx_dp_blend_surface(XilinxDPState *s)
+{
+    pixman_fixed_t alpha1[] = { pixman_double_to_fixed(1),
+                                pixman_double_to_fixed(1),
+                                pixman_double_to_fixed(1.0) };
+    pixman_fixed_t alpha2[] = { pixman_double_to_fixed(1),
+                                pixman_double_to_fixed(1),
+                                pixman_double_to_fixed(1.0) };
+
+    if ((surface_width(s->planes[0].surface)
+         != surface_width(s->planes[1].surface)) ||
+        (surface_height(s->planes[0].surface)
+         != surface_height(s->planes[1].surface))) {
+        return;
+    }
+
+    alpha1[2] = pixman_double_to_fixed(xilinx_dp_global_alpha_value(s) / 
256.0);
+    alpha2[2] = pixman_double_to_fixed((255.0 - 
xilinx_dp_global_alpha_value(s))
+                                      / 256.0);
+
+    pixman_image_set_filter(s->planes[0].surface->image,
+                            PIXMAN_FILTER_CONVOLUTION, alpha1, 3);
+    pixman_image_set_filter(s->planes[1].surface->image,
+                            PIXMAN_FILTER_CONVOLUTION, alpha2, 3);
+    pixman_image_composite(PIXMAN_OP_SRC, s->planes[0].surface->image, 0,
+                           s->planes[0].surface->image, 0, 0, 0, 0, 0, 0,
+                           surface_width(s->planes[0].surface),
+                           surface_height(s->planes[0].surface));
+    pixman_image_composite(PIXMAN_OP_ADD, s->planes[1].surface->image, 0,
+                           s->planes[0].surface->image, 0, 0, 0, 0, 0, 0,
+                           surface_width(s->planes[0].surface),
+                           surface_height(s->planes[0].surface));
+}
+
+static void xilinx_dp_update_display(void *opaque)
+{
+    XilinxDPState *s = XILINX_DP(opaque);
+
+    if (DEBUG_DP) {
+        int64_t last_time = 0;
+        int64_t frame = 0;
+        int64_t time = get_clock();
+        int64_t fps;
+
+        if (last_time == 0) {
+            last_time = get_clock();
+        }
+        frame++;
+        if (last_time + 1000000000 < time) {
+            fps = (1000000000.0 * frame) / (time - last_time);
+            last_time = time;
+            frame = 0;
+            DPRINTF("xilinx_dp: %ldfps\n", fps);
+        }
+    }
+
+
+    if ((s->core_registers[DP_TRANSMITTER_ENABLE] & 0x01) == 0) {
+        return;
+    }
+
+    s->core_registers[DP_INT_STATUS] |= (1 << 13);
+    xilinx_dp_update_irq(s);
+
+    /*
+     * Trigger the DMA channel.
+     */
+    if (!xilinx_dpdma_start_operation(s->dpdma, 3, false)) {
+        /*
+         * An error occured don't do anything with the data..
+         * Trigger an underflow interrupt.
+         */
+        s->core_registers[DP_INT_STATUS] |= (1 << 21);
+        xilinx_dp_update_irq(s);
+        return;
+    }
+
+    if (xilinx_dp_global_alpha_enabled(s)) {
+        if (!xilinx_dpdma_start_operation(s->dpdma, 0, false)) {
+            s->core_registers[DP_INT_STATUS] |= (1 << 21);
+            xilinx_dp_update_irq(s);
+            return;
+        }
+        xilinx_dp_blend_surface(s);
+    }
+
+    /*
+     * XXX: We might want to update only what changed.
+     */
+    dpy_gfx_update(s->console, 0, 0, surface_width(s->planes[0].surface),
+                                     surface_height(s->planes[0].surface));
+}
+
+static void xilinx_dp_invalidate_display(void *opaque)
+{
+
+}
+
+static const GraphicHwOps xilinx_dp_gfx_ops = {
+    .invalidate  = xilinx_dp_invalidate_display,
+    .gfx_update  = xilinx_dp_update_display,
+};
+
+static void xilinx_dp_init(Object *obj)
+{
+    SysBusDevice *sbd = SYS_BUS_DEVICE(obj);
+    XilinxDPState *s = XILINX_DP(obj);
+
+    memory_region_init(&s->container, obj, TYPE_XILINX_DP, 0xC050);
+
+    memory_region_init_io(&s->core_iomem, obj, &dp_ops, s, TYPE_XILINX_DP
+                          ".core", 0x3AF);
+    memory_region_add_subregion(&s->container, 0x0000, &s->core_iomem);
+
+    memory_region_init_io(&s->vblend_iomem, obj, &vblend_ops, s, TYPE_XILINX_DP
+                          ".v_blend", 0x1DF);
+    memory_region_add_subregion(&s->container, 0xA000, &s->vblend_iomem);
+
+    memory_region_init_io(&s->avbufm_iomem, obj, &avbufm_ops, s, TYPE_XILINX_DP
+                          ".av_buffer_manager", 0x238);
+    memory_region_add_subregion(&s->container, 0xB000, &s->avbufm_iomem);
+    memory_region_init_io(&s->audio_iomem, obj, &audio_ops, s, TYPE_XILINX_DP
+                          ".audio", sizeof(s->audio_registers));
+    memory_region_add_subregion(&s->container, 0xC000, &s->audio_iomem);
+    sysbus_init_mmio(sbd, &s->container);
+
+    sysbus_init_irq(sbd, &s->irq);
+
+    object_property_add_link(obj, "dpdma", TYPE_XILINX_DPDMA,
+                             (Object **) &s->dpdma,
+                             xilinx_dp_set_dpdma,
+                             OBJ_PROP_LINK_UNREF_ON_RELEASE,
+                             &error_abort);
+
+    s->byte_left = 0;
+
+    /*
+     * Initialize AUX Bus.
+     */
+    s->aux_bus = aux_init_bus(DEVICE(obj), "aux");
+
+    /*
+     * Initialize DPCD and EDID..
+     */
+    s->dpcd = DPCD(aux_create_slave(s->aux_bus, "dpcd", 0x00000));
+    s->edid = I2CDDC(qdev_create(BUS(aux_get_i2c_bus(s->aux_bus)), "i2c-ddc"));
+    i2c_set_slave_address(I2C_SLAVE(s->edid), 0x50);
+}
+
+static void xilinx_dp_realize(DeviceState *dev, Error **errp)
+{
+    XilinxDPState *s = XILINX_DP(dev);
+    DisplaySurface *surface;
+
+    s->console = graphic_console_init(dev, 0, &xilinx_dp_gfx_ops, s);
+    surface = qemu_console_surface(s->console);
+    xilinx_dpdma_set_host_data_location(s->dpdma, 3, surface_data(surface));
+    fifo8_create(&s->rx_fifo, 16);
+    fifo8_create(&s->tx_fifo, 16);
+
+    /* Audio */
+    struct audsettings as;
+    as.freq = 44100;
+    as.nchannels = 2;
+    as.fmt = AUD_FMT_S16;
+    as.endianness = 0;
+
+    AUD_register_card("xilinx_dp.audio", &s->aud_card);
+
+    s->amixer_output_stream = AUD_open_out(&s->aud_card,
+                                           s->amixer_output_stream,
+                                           "xilinx_dp.audio.out",
+                                           s,
+                                           xilinx_dp_audio_callback,
+                                           &as);
+    AUD_set_volume_out(s->amixer_output_stream, 0, 255, 255);
+    xilinx_dp_audio_activate(s);
+}
+
+static void xilinx_dp_reset(DeviceState *dev)
+{
+    XilinxDPState *s = XILINX_DP(dev);
+
+    /*
+     * Reset the Display Port registers.
+     */
+    memset(s->core_registers, 0, sizeof(s->core_registers));
+    s->core_registers[DP_VERSION_REGISTER] = 0x04010000;
+    s->core_registers[DP_CORE_ID] = 0x01020000;
+    s->core_registers[DP_REPLY_STATUS] = 0x00000010;
+    s->core_registers[DP_MSA_TRANSFER_UNIT_SIZE] = 0x00000040;
+    s->core_registers[DP_INIT_WAIT] = 0x00000020;
+    s->core_registers[DP_PHY_RESET] = 0x00010003;
+    s->core_registers[DP_INT_MASK] = 0xFFFFF03F;
+
+    /*
+     * XXX: We are ready so simply reset that to 0x43, some bit missing from 
the
+     *      documentation.
+     */
+    s->core_registers[DP_PHY_STATUS] = 0x00000043;
+
+    /*
+     * XXX: Assume we have something connected on the AUX connector.
+     */
+    s->core_registers[DP_INTERRUPT_SIGNAL_STATE] = 0x00000001;
+
+    /*
+     * Video Blender register reset.
+     */
+    s->vblend_registers[V_BLEND_RGB2YCBCR_COEFF0] = 0x00001000;
+    s->vblend_registers[V_BLEND_RGB2YCBCR_COEFF4] = 0x00001000;
+    s->vblend_registers[V_BLEND_RGB2YCBCR_COEFF8] = 0x00001000;
+    s->vblend_registers[V_BLEND_IN1CSC_COEFF0] = 0x00001000;
+    s->vblend_registers[V_BLEND_IN1CSC_COEFF4] = 0x00001000;
+    s->vblend_registers[V_BLEND_IN1CSC_COEFF8] = 0x00001000;
+    s->vblend_registers[V_BLEND_IN2CSC_COEFF0] = 0x00001000;
+    s->vblend_registers[V_BLEND_IN2CSC_COEFF4] = 0x00001000;
+    s->vblend_registers[V_BLEND_IN2CSC_COEFF8] = 0x00001000;
+
+    /*
+     * Audio Video Buffer Manager register reset.
+     */
+    s->avbufm_registers[AV_BUF_NON_LIVE_LATENCY] = 0x00000180;
+    s->avbufm_registers[AV_BUF_OUTPUT_AUDIO_VIDEO_SELECT] = 0x00000008;
+    s->avbufm_registers[AV_BUF_DITHER_CONFIG_MAX] = 0x00000FFF;
+    s->avbufm_registers[AV_BUF_GRAPHICS_COMP0_SCALE_FACTOR] = 0x00010101;
+    s->avbufm_registers[AV_BUF_GRAPHICS_COMP1_SCALE_FACTOR] = 0x00010101;
+    s->avbufm_registers[AV_BUF_GRAPHICS_COMP2_SCALE_FACTOR] = 0x00010101;
+    s->avbufm_registers[AV_BUF_VIDEO_COMP0_SCALE_FACTOR] = 0x00010101;
+    s->avbufm_registers[AV_BUF_VIDEO_COMP1_SCALE_FACTOR] = 0x00010101;
+    s->avbufm_registers[AV_BUF_VIDEO_COMP2_SCALE_FACTOR] = 0x00010101;
+    s->avbufm_registers[AV_BUF_LIVE_VIDEO_COMP0_SF] = 0x00010101;
+    s->avbufm_registers[AV_BUF_LIVE_VIDEO_COMP1_SF] = 0x00010101;
+    s->avbufm_registers[AV_BUF_LIVE_VIDEO_COMP2_SF] = 0x00010101;
+    s->avbufm_registers[AV_BUF_LIVE_GFX_COMP0_SF] = 0x00010101;
+    s->avbufm_registers[AV_BUF_LIVE_GFX_COMP1_SF] = 0x00010101;
+    s->avbufm_registers[AV_BUF_LIVE_GFX_COMP2_SF] = 0x00010101;
+
+    /*
+     * Audio register reset.
+     */
+    memset(s->audio_registers, 0, sizeof(s->audio_registers));
+
+    xilinx_dp_aux_clear_rx_fifo(s);
+    xilinx_dp_change_graphic_fmt(s);
+}
+
+static void xilinx_dp_class_init(ObjectClass *oc, void *data)
+{
+    DeviceClass *dc = DEVICE_CLASS(oc);
+
+    dc->realize = xilinx_dp_realize;
+    dc->vmsd = &vmstate_dp;
+    dc->reset = xilinx_dp_reset;
+}
+
+static const TypeInfo xilinx_dp_info = {
+    .name          = TYPE_XILINX_DP,
+    .parent        = TYPE_SYS_BUS_DEVICE,
+    .instance_size = sizeof(XilinxDPState),
+    .instance_init = xilinx_dp_init,
+    .class_init    = xilinx_dp_class_init,
+};
+
+static void xilinx_dp_register_types(void)
+{
+    type_register_static(&xilinx_dp_info);
+}
+
+type_init(xilinx_dp_register_types)
diff --git a/hw/display/xilinx_dp.h b/hw/display/xilinx_dp.h
new file mode 100644
index 0000000..62e16ec
--- /dev/null
+++ b/hw/display/xilinx_dp.h
@@ -0,0 +1,125 @@
+/*
+ * xilinx_dp.h
+ *
+ *  Copyright (C) 2015 : GreenSocs Ltd
+ *      http://www.greensocs.com/ , email: address@hidden
+ *
+ *  Developed by :
+ *  Frederic Konrad   <address@hidden>
+ *
+ * This program is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation, either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * This program is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License along
+ * with this program; if not, see <http://www.gnu.org/licenses/>.
+ *
+ */
+
+#include "hw/sysbus.h"
+#include "ui/console.h"
+#include "hw/aux.h"
+#include "hw/i2c/i2c.h"
+#include "hw/display/dpcd.h"
+#include "hw/i2c/i2c-ddc.h"
+#include "qemu/fifo8.h"
+#include "hw/dma/xilinx_dpdma.h"
+#include "audio/audio.h"
+
+#ifndef XILINX_DP_H
+#define XILINX_DP_H
+
+#define AUD_CHBUF_MAX_DEPTH                 32768
+#define MAX_QEMU_BUFFER_SIZE                4096
+
+struct PixmanPlane {
+    pixman_format_code_t format;
+    DisplaySurface *surface;
+};
+
+struct XilinxDPState {
+    SysBusDevice parent_obj;
+    MemoryRegion container;
+
+    /*
+     * Registers for the Core.
+     */
+    uint32_t core_registers[0x3AF >> 2];
+    MemoryRegion core_iomem;
+
+    /*
+     * Registers for Audio Video Buffer Manager.
+     */
+    uint32_t avbufm_registers[0x238 >> 2];
+    MemoryRegion avbufm_iomem;
+
+    /*
+     * Register for Video Blender.
+     */
+    uint32_t vblend_registers[0x1DF >> 2];
+    MemoryRegion vblend_iomem;
+
+    /*
+     * Registers for Audio.
+     */
+    uint32_t audio_registers[0x50 >> 2];
+    MemoryRegion audio_iomem;
+
+    QemuConsole *console;
+    /*
+     * 0: Graphic plane, 1: Video plane.
+     */
+    struct PixmanPlane planes[2];
+
+    /*
+     * Audio related.
+     */
+    QEMUSoundCard aud_card;
+    SWVoiceOut *amixer_output_stream;
+    int16_t audio_buffer_0[AUD_CHBUF_MAX_DEPTH];
+    int16_t audio_buffer_1[AUD_CHBUF_MAX_DEPTH];
+    size_t audio_data_available[2];
+    int64_t temp_buffer[AUD_CHBUF_MAX_DEPTH];
+    int16_t out_buffer[AUD_CHBUF_MAX_DEPTH];
+    size_t byte_left; /* byte available in out_buffer. */
+    size_t data_ptr;  /* next byte to be sent to QEMU. */
+
+    /*
+     * Associated DPDMA controller.
+     */
+    XilinxDPDMAState *dpdma;
+
+    /*
+     * IRQ.
+     */
+    qemu_irq irq;
+
+    /*
+     * AUX bus.
+     */
+    AUXBus *aux_bus;
+
+    Fifo8 rx_fifo;
+    Fifo8 tx_fifo;
+
+    uint32_t last_request;
+
+    /*
+     * XXX: This should be in an other module.
+     */
+    DPCDState *dpcd;
+    I2CDDCState *edid;
+};
+
+typedef struct XilinxDPState XilinxDPState;
+
+#define TYPE_XILINX_DP "xlnx.v-dp"
+#define XILINX_DP(obj) OBJECT_CHECK(XilinxDPState, (obj), TYPE_XILINX_DP)
+
+#endif /* !XILINX_DP_H */
-- 
1.9.0




reply via email to

[Prev in Thread] Current Thread [Next in Thread]