simulavr-devel
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

Re: [Simulavr-devel] vcd file dumper for simulavr


From: Thedore A. Roth
Subject: Re: [Simulavr-devel] vcd file dumper for simulavr
Date: Thu Oct 24 23:34:18 2002

Thanks Carsten.

I havn't had time to give this a good review yet, but it sounds interesting.
I'll try to get to it over the weekend. If you don't hear back from me by
middle of next week, pester me to get this merged in.

Ted Roth (busy in gdb land for now)

On Wed, 23 Oct 2002, Carsten Beth wrote:

:)Hello everybody!
:)
:)I have written a display program which writes simulavr output to a value
:)
:)change dump (vcd) file. vcd files are defined in the language reference
:)manual of the hardware description language verilog. They are mainly
:)used to
:)store signal traces and processing them in additional application, e.g.
:)waveform viewer.
:)
:)In the attachment there is the tar file disp-vcd.tgz. For installation
:)you
:)have to save it in your simulavr build directory and untar it. Then
:)change to
:)the disp-vcd directory and call make. Make sure the executable
:)simulavr-vcd
:)is in your PATH.
:)
:)Unfortunately simulavr-vcd needs time-information from simulavr, which
:)normally isn't transfer. So you have to patch simulavr and recompile it.
:)I am
:)not familiar with the patch mechanism, so I send the files, which has to
:)be
:)changed, in the attachment. Sorry for that procedure. They work with
:)simulavr
:)release 0.1.1.
:)
:)Before starting a simulation you have to create a configuration file
:)vcd.cfg
:)(or copy/modify the example file). In that file you have to define the
:)signals which shall be traced. Traceable signals are at the moment
:)registers,
:)io-registers, sram content, the program counter and the stack pointer.
:)See
:)the example vcd.cfg for syntax.
:)
:)To start simulavr with the simulavr-vcd as a co-process you have to
:)execute
:)e.g.: simulavr -d at90s2313 --gdbserver --disp-prog=simulavr-vcd
:)
:)While simulation the vcd file is written. You can use (hopefully) any
:)waveform viewer which can handle vcd files. At the moment I have just
:)test it
:)with the free viewer GTKWave.
:)
:)Please try the tool, I think it's a good aid for debugging your
:)software.
:)
:)Carsten
:)
:)





reply via email to

[Prev in Thread] Current Thread [Next in Thread]