simulavr-devel
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

Re: [Simulavr-devel] Can I display the simulated controller time in gdb?


From: Markus Hitter
Subject: Re: [Simulavr-devel] Can I display the simulated controller time in gdb?
Date: Fri, 10 Mar 2017 22:22:29 +0100
User-agent: Mozilla/5.0 (X11; Linux x86_64; rv:45.0) Gecko/20100101 Thunderbird/45.7.0

Am 10.03.2017 um 22:00 schrieb Wolfgang Rosner:
> but this is neither accumulated time nor do I see how it relates to the
> simulation target.
> 
> Any trick?

You can toggle I/O pins and output that to a trace file. These trace files are 
based on simulated time.

See
http://reprap.org/wiki/Teacup_Firmware#Teacup_in_SimulAVR
http://reprap.org/wiki/SimulAVR#Signal_tracing


Markus

-- 
- - - - - - - - - - - - - - - - - - -
Dipl. Ing. (FH) Markus Hitter
http://www.jump-ing.de/



reply via email to

[Prev in Thread] Current Thread [Next in Thread]