bug-apl
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

Re: /⍨


From: Dr . Jürgen Sauermann
Subject: Re: /⍨
Date: Sun, 5 Jun 2022 18:38:30 +0200
User-agent: Mozilla/5.0 (X11; Linux x86_64; rv:78.0) Gecko/20100101 Thunderbird/78.13.0

Hi Hudson,

see below.

Best Regards,
Jürgen


On 6/5/22 12:06 AM, hudson@hudsonlacerda.com wrote:
Hi Jürgen,

About ⌹2  , the exception also occurs at CLEAR WS (see attached log).
Thanks. I believe this is a compiler error (which does not happen with mine -
g++ (Ubuntu 9.4.0-1ubuntu1~18.04) 9.4.0).

Apparently your compiler over-optimizes:

*       Cell cZ;**
**       B->get_cscalar().bif_reciprocal(&cZ);**
**       Z->next_ravel_Cell(cZ);**
*
in file *Bif_F12_DOMINO.cc* and does not notice that *bif_reciprocal* changes cZ.

I have changes the code a little and maybe that fixes it. *SVN 1558*.
The )help for ⎕ uses ⌷ in the examples.
fixed.
The )help for ⍞ describes input twice. (Perhaps mention A←⍞←B ?)
fixed.

Regards,
Hudson

----- Em 4 de Jun de 2022, em 14:38, Dr. Jürgen Sauermann 
mail@jürgen-sauermann.de escreveu:

Hi Hudson,

thanks, see below ( SVN 1557 ).

On 6/2/22 4:52 PM, [ mailto:hudson@hudsonlacerda.com | hudson@hudsonlacerda.com
] wrote:

Hi Jürgen,
Many thanks.
1) The reference to the ISO "PDF" file without the ZIP-format warning is in the
preamble of doc/apl.html .
fixed.

2) ⌹
⌹ ,2 (as vector) is OK
⌹ 2 (as scalar) raises the exception
==============================================================================
Assertion failed: 0 && "Cell::init_other() called on base class"
in Function:      init_other
in file:          Cell.hh:64
Still having problems to reproduce this:

⌹,
0.5
⌹2
0.5

Does it also happen in a CLEAR WS ?

3) Still missing help for ⎕ and ⍞ — not listed by ")help TAB"
)help ⎕
)help ⍞
fixed.

4) ⎕DL cannot be stopped by ATTENTION (Control+C) ­— is it so by design?
Actually you need INTERRUPT (= double ^C within short time) and not
ATTENTION (= single ^C).

5) /⍨ now works — but not /¨ :
      (1 0 1)(0 1 1) /¨ ⊂'abc'
DOMAIN ERROR
       (1 0 1) (0 1 1)/¨ ⊂'abc'
       ^                ^
       (1 0 1)(0 1 1) /¨¨ ⊂'abc'
[INFINITE LOOP]
Alternative to /¨ (besides {⍺/⍵}¨ ):
       ,/⊃(1 0 1)(0 1 1) ⍴¨¨ ⊂'abc'
  ac bc
fixed. I should mention that some invariants in the IBM APL2 language reference
manual are incorrect (at least my PC APL2 differs from the manual). The

(0≠L)/R ←→ ⊃,/L⊂R on page 188

seems to hold only if L⊂R is not empty.

(Maybe it is not without reason that IBM APL2 does not support operators along
with / ).
Other issues are fixed.
Regards,
Hudson
P.S.: Is it in your TODO list support for Unicode string manipulation (like
toupper(), tolower() etc. )?
----- Dr. Jürgen Sauermann <mail@jürgen-sauermann.de> escreveu:
> Hi Hudson,
>
> thanks for reporting your issues. I believe that I fixed (most of) them
> in *SVN 1556*.
>
> Regarding the ISO PDF file, it is mentioned below the link in
> README-7-more-info
> that the file needs to be unzipped.
>
> Regarding *⌹{⍺+÷⍵}⍣1000⍨ 1* this works just fine on my machine:
>
> *      ⌹{⍺+÷⍵}⍣1000⍨ 1**
> **0.6180339887**
> *
> If you can reproduce the fault, then please check with *gdb* (after
> *make apl.lines*
> in directory *src* as to get better line numbers in *gdb*).
>
> Best Regards,
> Jürgen
[...]




reply via email to

[Prev in Thread] Current Thread [Next in Thread]