bug-binutils
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Bug binutils/25202] objcopy --verilog-data-width doesn't respect target


From: cvs-commit at gcc dot gnu.org
Subject: [Bug binutils/25202] objcopy --verilog-data-width doesn't respect target's endianness
Date: Thu, 01 Dec 2022 13:10:17 +0000

https://sourceware.org/bugzilla/show_bug.cgi?id=25202

--- Comment #24 from cvs-commit at gcc dot gnu.org <cvs-commit at gcc dot 
gnu.org> ---
The master branch has been updated by Nick Clifton <nickc@sourceware.org>:

https://sourceware.org/git/gitweb.cgi?p=binutils-gdb.git;h=6ef35c04dffe685ece08212201c4c032baf8aa86

commit 6ef35c04dffe685ece08212201c4c032baf8aa86
Author: Nick Clifton <nickc@redhat.com>
Date:   Thu Dec 1 13:09:26 2022 +0000

    Fix verilog output when the width is > 1.

            PR 25202
    bfd     * bfd.c (VerilogDataEndianness): New variable.
            (verilog_write_record): Use VerilogDataEndianness, if set, to
            choose the endianness of the output.
            (verilog_write_section): Adjust the address by the data width.

    binutils* objcopy.c (copy_object): Set VerilogDataEndianness to the
            endianness of the input file.
            (copy_main): Verifiy the value set by the --verilog-data-width
            option.
            * testsuite/binutils-all/objcopy.exp: Add tests of the new
behaviour.
            * testsuite/binutils-all/verilog-I4.hex: New file.

-- 
You are receiving this mail because:
You are on the CC list for the bug.


reply via email to

[Prev in Thread] Current Thread [Next in Thread]