emacs-commit
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Emacs-commit] emacs/lisp ChangeLog progmodes/verilog-mode.el


From: Dan Nicolaescu
Subject: [Emacs-commit] emacs/lisp ChangeLog progmodes/verilog-mode.el
Date: Fri, 05 Sep 2008 22:13:58 +0000

CVSROOT:        /cvsroot/emacs
Module name:    emacs
Changes by:     Dan Nicolaescu <dann>   08/09/05 22:13:58

Modified files:
        lisp           : ChangeLog 
        lisp/progmodes : verilog-mode.el 

Log message:
        * verilog-mode.el (verilog-library-extensions): Enable .sv
        filename extensions to call verilog-mode.
        (verilog-auto, verilog-auto-inst, verilog-faq)
        (verilog-submit-bug-report): Update author support URLs.
        (verilog-delete-auto, verilog-auto-inout-module)
        (verilog-auto-inout-comp, verilog-auto): Add AUTOINOUTCOMP for
        creating complemented testbench modules.  Suggested by Yishay
        Belkind.
        (verilog-auto-inst-port, verilog-simplify-range-expression): When
        verilog-auto-inst-param-value is set, don't require a
        AUTO_TEMPLATE to expand parameter substitutions.  Suggested by
        Yishay Belkind.
        (verilog-auto-inst-param-value): Add safe variable.
        (verilog-re-search-forward, verilog-re-search-backward): Fix
        returning wrong search results on Emacs 22.1.
        (verilog-modi-cache-results, verilog-auto): Fix warning message
        about "toggling font-lock-mode."
        (verilog-auto): Fix loosing font-lock on errors.
        (verilog-auto-inst-param-value, verilog-mode-version)
        (verilog-mode-version-date, verilog-read-inst-param-value)
        (verilog-auto-inst, verilog-auto-inst-param)
        (verilog-auto-inst-port, verilog-simplify-range-expression): Allow
        parameters to be replaced with their values, on the expansion of
        an AUTOINST with Verilog 2001 style parameter settings.  Suggested
        by David Rogoff.
        * verilog-mode.el (verilog-beg-block-re-ordered, verilog-calc-1):
        Better support for the property statement.  Sometimes this keyword
        introduces a statement which requires an endproperty keyword, and
        sometimes it doesn't, dependening on the work before the property
        word. If property is prefixed with assert, assume or cover
        keyword, then the statement is ended with a ';' Otherwise,
        property is like task or specify, and is followed by some number
        of statements, which are ended with an endproperty keyword.
        (electric-verilog-tab): Support Emacs 22.2 style handling of tab
        in a highlighted region: indent each line in region according to
        mode.  Supply this so it works in XEmacs and older Emacs.

CVSWeb URLs:
http://cvs.savannah.gnu.org/viewcvs/emacs/lisp/ChangeLog?cvsroot=emacs&r1=1.14421&r2=1.14422
http://cvs.savannah.gnu.org/viewcvs/emacs/lisp/progmodes/verilog-mode.el?cvsroot=emacs&r1=1.23&r2=1.24




reply via email to

[Prev in Thread] Current Thread [Next in Thread]