commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] r4695 - gnuradio/trunk/usrp/fpga/toplevel/usrp_std


From: eb
Subject: [Commit-gnuradio] r4695 - gnuradio/trunk/usrp/fpga/toplevel/usrp_std
Date: Fri, 2 Mar 2007 20:50:06 -0700 (MST)

Author: eb
Date: 2007-03-02 20:50:06 -0700 (Fri, 02 Mar 2007)
New Revision: 4695

Added:
   gnuradio/trunk/usrp/fpga/toplevel/usrp_std/usrp_std_config_1rxhb_1tx.vh
Modified:
   gnuradio/trunk/usrp/fpga/toplevel/usrp_std/usrp_std.v
   gnuradio/trunk/usrp/fpga/toplevel/usrp_std/usrp_std.vh
Log:
Add new standard configuration for 1 RX w/ half-band, 1 TX

Modified: gnuradio/trunk/usrp/fpga/toplevel/usrp_std/usrp_std.v
===================================================================
--- gnuradio/trunk/usrp/fpga/toplevel/usrp_std/usrp_std.v       2007-03-03 
03:49:04 UTC (rev 4694)
+++ gnuradio/trunk/usrp/fpga/toplevel/usrp_std/usrp_std.v       2007-03-03 
03:50:06 UTC (rev 4695)
@@ -124,7 +124,7 @@
    
////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////
    // Transmit Side
 `ifdef TX_ON
-   assign     bb_tx_i0 = ch0tx;
+   assign      bb_tx_i0 = ch0tx;
    assign      bb_tx_q0 = ch1tx;
    assign      bb_tx_i1 = ch2tx;
    assign      bb_tx_q1 = ch3tx;
@@ -142,17 +142,27 @@
        .tx_empty(tx_empty),
        .debugbus(tx_debugbus) );
 
+ `ifdef TX_EN_0
    tx_chain tx_chain_0
      ( .clock(clk64),.reset(tx_dsp_reset),.enable(enable_tx),
        .interp_rate(interp_rate),.sample_strobe(tx_sample_strobe),
        .interpolator_strobe(strobe_interp),.freq(),
        .i_in(bb_tx_i0),.q_in(bb_tx_q0),.i_out(i_out_0),.q_out(q_out_0) );
+ `else
+   assign      i_out_0=16'd0;
+   assign      q_out_0=16'd0;
+ `endif
 
+ `ifdef TX_EN_1
    tx_chain tx_chain_1
      ( .clock(clk64),.reset(tx_dsp_reset),.enable(enable_tx),
        .interp_rate(interp_rate),.sample_strobe(tx_sample_strobe),
        .interpolator_strobe(strobe_interp),.freq(),
        .i_in(bb_tx_i1),.q_in(bb_tx_q1),.i_out(i_out_1),.q_out(q_out_1) );
+ `else
+   assign      i_out_1=16'd0;
+   assign      q_out_1=16'd0;
+ `endif
 
    setting_reg #(`FR_TX_MUX) 
      
sr_txmux(.clock(clk64),.reset(tx_dsp_reset),.strobe(serial_strobe),.addr(serial_addr),.in(serial_data),

Modified: gnuradio/trunk/usrp/fpga/toplevel/usrp_std/usrp_std.vh
===================================================================
--- gnuradio/trunk/usrp/fpga/toplevel/usrp_std/usrp_std.vh      2007-03-03 
03:49:04 UTC (rev 4694)
+++ gnuradio/trunk/usrp/fpga/toplevel/usrp_std/usrp_std.vh      2007-03-03 
03:50:06 UTC (rev 4695)
@@ -26,6 +26,9 @@
 //
 // ====================================================================
 
+// Uncomment this for 1 rx channel (w/ halfband) & 1 transmit channel
+//`include "usrp_std_config_1rxhb_1tx.vh"
+
 // Uncomment this for 2 rx channels (w/ halfband) & 2 transmit channels
   `include "usrp_std_config_2rxhb_2tx.vh"
 

Added: gnuradio/trunk/usrp/fpga/toplevel/usrp_std/usrp_std_config_1rxhb_1tx.vh
===================================================================
--- gnuradio/trunk/usrp/fpga/toplevel/usrp_std/usrp_std_config_1rxhb_1tx.vh     
                        (rev 0)
+++ gnuradio/trunk/usrp/fpga/toplevel/usrp_std/usrp_std_config_1rxhb_1tx.vh     
2007-03-03 03:50:06 UTC (rev 4695)
@@ -0,0 +1,61 @@
+// -*- verilog -*-
+//
+//  USRP - Universal Software Radio Peripheral
+//
+//  Copyright (C) 2006 Matt Ettus
+//
+//  This program is free software; you can redistribute it and/or modify
+//  it under the terms of the GNU General Public License as published by
+//  the Free Software Foundation; either version 2 of the License, or
+//  (at your option) any later version.
+//
+//  This program is distributed in the hope that it will be useful,
+//  but WITHOUT ANY WARRANTY; without even the implied warranty of
+//  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+//  GNU General Public License for more details.
+//
+//  You should have received a copy of the GNU General Public License
+//  along with this program; if not, write to the Free Software
+//  Foundation, Inc., 51 Franklin Street, Boston, MA  02110-1301  USA
+//
+
+// ------------------------------------------------------------
+// If TX_ON is not defined, there is *no* transmit circuitry built
+  `define TX_ON
+
+// ------------------------------------------------------------
+// Define 1 and only one of TX_SINGLE, TX_DUAL and TX_QUAD
+// to respectively enable 1, 2 or 4 transmit channels.
+// [Please note that only TX_DUAL is currently valid]
+  `define TX_SINGLE
+//`define TX_DUAL
+//`define TX_QUAD
+
+// ------------------------------------------------------------
+// Define TX_HB_ON to enable the transmit halfband filter
+// [Not implemented]
+//`define TX_HB_ON
+
+// ------------------------------------------------------------
+// IF RX_ON is not defined, there is *no* transmit circuitry built
+  `define RX_ON
+
+// ------------------------------------------------------------
+// Define 1 and only one of RX_SINGLE, RX_DUAL and RX_QUAD
+// to respectively define 1, 2 or 4 receive channels.
+
+  `define RX_SINGLE
+//`define RX_DUAL
+//`define RX_QUAD
+
+// ------------------------------------------------------------
+// Define RX_HB_ON to enable the receive halfband filter
+  `define RX_HB_ON
+
+// ------------------------------------------------------------
+// Define RX_NCO_ON to enable the receive Numerical Controlled Osc
+  `define RX_NCO_ON
+
+// ------------------------------------------------------------
+// Define RX_CIC_ON to enable the receive Cascaded Integrator Comb filter
+  `define RX_CIC_ON
\ No newline at end of file





reply via email to

[Prev in Thread] Current Thread [Next in Thread]