commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] r4975 - gnuradio/branches/developers/thottelt/tx_data


From: thottelt
Subject: [Commit-gnuradio] r4975 - gnuradio/branches/developers/thottelt/tx_data
Date: Thu, 12 Apr 2007 17:09:37 -0600 (MDT)

Author: thottelt
Date: 2007-04-12 17:09:36 -0600 (Thu, 12 Apr 2007)
New Revision: 4975

Modified:
   gnuradio/branches/developers/thottelt/tx_data/chan_fifo_readers.v
   gnuradio/branches/developers/thottelt/tx_data/chan_fifo_readers_test.v
Log:
more comments

Modified: gnuradio/branches/developers/thottelt/tx_data/chan_fifo_readers.v
===================================================================
--- gnuradio/branches/developers/thottelt/tx_data/chan_fifo_readers.v   
2007-04-12 22:39:24 UTC (rev 4974)
+++ gnuradio/branches/developers/thottelt/tx_data/chan_fifo_readers.v   
2007-04-12 23:09:36 UTC (rev 4975)
@@ -94,14 +94,17 @@
                    reader_next_state <= `WAIT;
                end
                `WAIT: begin
+                   // Wait a little bit more
                    if (timestamp > adc_clock + 5) begin
                        reader_next_state <= `WAIT;
                    end
+                   // Prepare to send
                    else if (timestamp < adc_clock + 5 
                            && timestamp > adc_clock) begin
                        reader_next_state <= `SENDWAIT;
                        rdreq <= 1;
                    end
+                   // Outdated
                    else if (timestamp < adc_clock) begin
                        reader_next_state <= `DISCARD;
                        skip <= 1;
@@ -132,7 +135,6 @@
                    reader_next_state <= `IDLE;
                end
                
-               
                default: begin
                    $display ("Error unknown state");
                    reader_state <= `IDLE;

Modified: gnuradio/branches/developers/thottelt/tx_data/chan_fifo_readers_test.v
===================================================================
--- gnuradio/branches/developers/thottelt/tx_data/chan_fifo_readers_test.v      
2007-04-12 22:39:24 UTC (rev 4974)
+++ gnuradio/branches/developers/thottelt/tx_data/chan_fifo_readers_test.v      
2007-04-12 23:09:36 UTC (rev 4975)
@@ -56,16 +56,20 @@
         repeat (20) begin
           @(posedge txclock)
             WR = 1'b1 ;
-            if (i == 3)
-               data_bus = 1000;
-            else if (i == 1)
+            // Payload len
+            if (i == 1)
                data_bus = 32;
+            // First 16 bits of timestamp
             else if (i == 2)
                data_bus = 0;
+            // 16 lower bits of timestamp
+            else if (i == 3)
+               data_bus = 1000;
             else
                data_bus = i ;
             i = i + 1 ;
             
+            // Notify the fifo to increment the packet number
             if (i == 19)
                pkt_complete <= 1;   
         end
@@ -76,18 +80,22 @@
         
         repeat (12) begin
           @(posedge txclock)
-            pkt_complete <= 0;
             WR = 1'b1 ;
-            if (i == 3)
-               data_bus = 1600;
-            else if (i == 1)
+            
+            //Payload len
+            if (i == 1)
                data_bus = 16;
+            //First 16 bits of timestamp
             else if (i == 2)
                data_bus = 0;
+            // 16 lower bits of timestamp
+            else if (i == 3)
+               data_bus = 1600;
             else
                data_bus = i ;
             i = i + 1 ;
             
+            // Notify the fifo to increment the packet number
             if (i == 11)
                pkt_complete <= 1;
         end





reply via email to

[Prev in Thread] Current Thread [Next in Thread]