commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] r5018 - gnuradio/branches/developers/jcorgan/atr/usrp/


From: jcorgan
Subject: [Commit-gnuradio] r5018 - gnuradio/branches/developers/jcorgan/atr/usrp/fpga/sdr_lib
Date: Mon, 16 Apr 2007 12:32:39 -0600 (MDT)

Author: jcorgan
Date: 2007-04-16 12:32:39 -0600 (Mon, 16 Apr 2007)
New Revision: 5018

Modified:
   gnuradio/branches/developers/jcorgan/atr/usrp/fpga/sdr_lib/atr_delay.v
Log:
Fixed typo on assignment.  Quartus synthesized identically.

Modified: gnuradio/branches/developers/jcorgan/atr/usrp/fpga/sdr_lib/atr_delay.v
===================================================================
--- gnuradio/branches/developers/jcorgan/atr/usrp/fpga/sdr_lib/atr_delay.v      
2007-04-16 18:07:47 UTC (rev 5017)
+++ gnuradio/branches/developers/jcorgan/atr/usrp/fpga/sdr_lib/atr_delay.v      
2007-04-16 18:32:39 UTC (rev 5018)
@@ -55,7 +55,7 @@
           if (count == 0)
             state <= `ST_TX;
           else
-            count = count - 1;
+            count <= count - 1;
 
         `ST_TX:
           if (tx_empty_i)
@@ -68,7 +68,7 @@
           if (count == 0)
             state <= `ST_RX;
           else
-            count = count - 1;
+            count <= count - 1;
         
         default:               // Error
           begin





reply via email to

[Prev in Thread] Current Thread [Next in Thread]