commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] r5019 - in gnuradio/branches/developers/jcorgan/atr/us


From: jcorgan
Subject: [Commit-gnuradio] r5019 - in gnuradio/branches/developers/jcorgan/atr/usrp: firmware/include fpga/rbf/rev2 fpga/rbf/rev4 fpga/sdr_lib
Date: Mon, 16 Apr 2007 14:10:34 -0600 (MDT)

Author: jcorgan
Date: 2007-04-16 14:10:34 -0600 (Mon, 16 Apr 2007)
New Revision: 5019

Modified:
   
gnuradio/branches/developers/jcorgan/atr/usrp/firmware/include/fpga_regs_common.h
   
gnuradio/branches/developers/jcorgan/atr/usrp/firmware/include/fpga_regs_common.v
   
gnuradio/branches/developers/jcorgan/atr/usrp/firmware/include/fpga_regs_standard.h
   
gnuradio/branches/developers/jcorgan/atr/usrp/firmware/include/fpga_regs_standard.v
   gnuradio/branches/developers/jcorgan/atr/usrp/fpga/rbf/rev2/std_2rxhb_2tx.rbf
   gnuradio/branches/developers/jcorgan/atr/usrp/fpga/rbf/rev4/std_2rxhb_2tx.rbf
   gnuradio/branches/developers/jcorgan/atr/usrp/fpga/sdr_lib/atr_delay.v
Log:
Cleanup and moved register assignment to fpga_common from fpga_standard.

Modified: 
gnuradio/branches/developers/jcorgan/atr/usrp/firmware/include/fpga_regs_common.h
===================================================================
--- 
gnuradio/branches/developers/jcorgan/atr/usrp/firmware/include/fpga_regs_common.h
   2007-04-16 18:32:39 UTC (rev 5018)
+++ 
gnuradio/branches/developers/jcorgan/atr/usrp/firmware/include/fpga_regs_common.h
   2007-04-16 20:10:34 UTC (rev 5019)
@@ -32,8 +32,7 @@
 #define        FR_TX_SAMPLE_RATE_DIV    0
 #define        FR_RX_SAMPLE_RATE_DIV    1
 
-// 2 is available.
-// 3 is available.
+// 2 and 3 are defined in the ATR section
 
 #define        FR_MASTER_CTRL           4      // master enable and reset 
controls
 #  define  bmFR_MC_ENABLE_TX           (1 << 0)
@@ -144,4 +143,8 @@
 #define        FR_ATR_TXVAL_3          30
 #define FR_ATR_RXVAL_3         31
 
+// Clock ticks to delay rising and falling edge of T/R signal
+#define FR_ATR_TX_DELAY          2
+#define FR_ATR_RX_DELAY          3
+
 #endif /* INCLUDED_FPGA_REGS_COMMON_H */

Modified: 
gnuradio/branches/developers/jcorgan/atr/usrp/firmware/include/fpga_regs_common.v
===================================================================
--- 
gnuradio/branches/developers/jcorgan/atr/usrp/firmware/include/fpga_regs_common.v
   2007-04-16 18:32:39 UTC (rev 5018)
+++ 
gnuradio/branches/developers/jcorgan/atr/usrp/firmware/include/fpga_regs_common.v
   2007-04-16 20:10:34 UTC (rev 5019)
@@ -13,8 +13,7 @@
 `define FR_TX_SAMPLE_RATE_DIV     7'd0
 `define FR_RX_SAMPLE_RATE_DIV     7'd1
 
-// 2 is available.
-// 3 is available.
+// 2 and 3 are defined in the ATR section
 
 `define FR_MASTER_CTRL            7'd4 // master enable and reset controls
 
@@ -112,3 +111,7 @@
 `define FR_ATR_TXVAL_3            7'd30
 `define FR_ATR_RXVAL_3            7'd31
 
+// Clock ticks to delay rising and falling edge of T/R signal
+`define FR_ATR_TX_DELAY           7'd2
+`define FR_ATR_RX_DELAY           7'd3
+

Modified: 
gnuradio/branches/developers/jcorgan/atr/usrp/firmware/include/fpga_regs_standard.h
===================================================================
--- 
gnuradio/branches/developers/jcorgan/atr/usrp/firmware/include/fpga_regs_standard.h
 2007-04-16 18:32:39 UTC (rev 5018)
+++ 
gnuradio/branches/developers/jcorgan/atr/usrp/firmware/include/fpga_regs_standard.h
 2007-04-16 20:10:34 UTC (rev 5019)
@@ -181,12 +181,8 @@
 // Possible future values of WIDTH = {4, 2, 1}
 // 12 takes a bit more work, since we need to know packet alignment.
 
-// Clock ticks to delay auto T/R state transitions
-#define FR_ATR_TX_DELAY 50
-#define FR_ATR_RX_DELAY 51
-
 // ------------------------------------------------------------------------
-// FIXME register numbers 52 to 63 are available
+// FIXME register numbers 50 to 63 are available
 
 // ------------------------------------------------------------------------
 // Registers 64 to 79 are reserved for user custom FPGA builds.

Modified: 
gnuradio/branches/developers/jcorgan/atr/usrp/firmware/include/fpga_regs_standard.v
===================================================================
--- 
gnuradio/branches/developers/jcorgan/atr/usrp/firmware/include/fpga_regs_standard.v
 2007-04-16 18:32:39 UTC (rev 5018)
+++ 
gnuradio/branches/developers/jcorgan/atr/usrp/firmware/include/fpga_regs_standard.v
 2007-04-16 20:10:34 UTC (rev 5019)
@@ -153,12 +153,8 @@
 // Possible future values of WIDTH = {4, 2, 1}
 // 12 takes a bit more work, since we need to know packet alignment.
 
-// Clock ticks to delay auto T/R state transitions
-`define FR_ATR_TX_DELAY           7'd50
-`define FR_ATR_RX_DELAY           7'd51
-
 // ------------------------------------------------------------------------
-// FIXME register numbers 52 to 63 are available
+// FIXME register numbers 50 to 63 are available
 
 // ------------------------------------------------------------------------
 // Registers 64 to 79 are reserved for user custom FPGA builds.

Modified: 
gnuradio/branches/developers/jcorgan/atr/usrp/fpga/rbf/rev2/std_2rxhb_2tx.rbf
===================================================================
(Binary files differ)

Modified: 
gnuradio/branches/developers/jcorgan/atr/usrp/fpga/rbf/rev4/std_2rxhb_2tx.rbf
===================================================================
(Binary files differ)

Modified: gnuradio/branches/developers/jcorgan/atr/usrp/fpga/sdr_lib/atr_delay.v
===================================================================
--- gnuradio/branches/developers/jcorgan/atr/usrp/fpga/sdr_lib/atr_delay.v      
2007-04-16 18:32:39 UTC (rev 5018)
+++ gnuradio/branches/developers/jcorgan/atr/usrp/fpga/sdr_lib/atr_delay.v      
2007-04-16 20:10:34 UTC (rev 5019)
@@ -77,7 +77,7 @@
           end
        endcase
    
-   assign atr_tx_o = state[3] | state[0];
+   assign atr_tx_o = (state == `ST_TX) | (state == `ST_RX_DELAY);
    
 endmodule // atr_delay
 





reply via email to

[Prev in Thread] Current Thread [Next in Thread]