commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] r5036 - gnuradio/branches/developers/matt/u2f/top/safe


From: matt
Subject: [Commit-gnuradio] r5036 - gnuradio/branches/developers/matt/u2f/top/safe_bringup
Date: Tue, 17 Apr 2007 14:59:58 -0600 (MDT)

Author: matt
Date: 2007-04-17 14:59:57 -0600 (Tue, 17 Apr 2007)
New Revision: 5036

Modified:
   gnuradio/branches/developers/matt/u2f/top/safe_bringup/safe_bringup.ise
   gnuradio/branches/developers/matt/u2f/top/safe_bringup/safe_bringup.v
Log:
safer


Modified: 
gnuradio/branches/developers/matt/u2f/top/safe_bringup/safe_bringup.ise
===================================================================
(Binary files differ)

Modified: gnuradio/branches/developers/matt/u2f/top/safe_bringup/safe_bringup.v
===================================================================
--- gnuradio/branches/developers/matt/u2f/top/safe_bringup/safe_bringup.v       
2007-04-17 17:36:07 UTC (rev 5035)
+++ gnuradio/branches/developers/matt/u2f/top/safe_bringup/safe_bringup.v       
2007-04-17 20:59:57 UTC (rev 5036)
@@ -100,15 +100,15 @@
    // I2C
    input SCL,
    input SDA,
-   output SCL_force,
-   output SDA_force,
+   input SCL_force,
+   input SDA_force,
 
    //IOBUF IOPIN11(.O(scl_pad_i), .IO(SCL), .I(scl_pad_o), .T(scl_padoen_o));
    //IOBUF IOPIN2(.O(sda_pad_i), .IO(SDA), .I(sda_pad_o), .T(sda_padoen_o));
 
    // Clock Gen Control
-   output [1:0] clk_en,
-   output [1:0] clk_sel,
+   input [1:0] clk_en,
+   input [1:0] clk_sel,
    input clk_func,        // FIXME is an input to control the 9510
    input clk_status,
 
@@ -194,7 +194,8 @@
        assign debug_clk[0] = aux_clk;
        assign debug_clk[1] = clk_fpga; 
    
-   clock_control clock_control 
+   /*
+    clock_control clock_control 
      (.reset(reset),
       .aux_clk(aux_clk),    // 25MHz, for before fpga clock is active
       .clk_fpga(clk_fpga),  // real 100 MHz FPGA clock
@@ -223,6 +224,6 @@
      (.wb_clk_i(),.wb_rst_i(),.wb_adr_i(),.wb_dat_i(),.wb_dat_o(),.wb_sel_i(),
       .wb_we_i(),.wb_stb_i(),.wb_cyc_i(),.wb_ack_o(),.wb_err_o(),.wb_int_o(),
       .ss_pad_o(),.sclk_pad_o(),.mosi_pad_o(),.miso_pad_i() );
-   
+   */
 endmodule // u2_basic
 





reply via email to

[Prev in Thread] Current Thread [Next in Thread]