commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] r5037 - in gnuradio/branches/developers/jcorgan/snd/gr


From: jcorgan
Subject: [Commit-gnuradio] r5037 - in gnuradio/branches/developers/jcorgan/snd/gr-sounder/src/fpga: lib rbf/rev2 rbf/rev4 top
Date: Tue, 17 Apr 2007 15:31:55 -0600 (MDT)

Author: jcorgan
Date: 2007-04-17 15:31:54 -0600 (Tue, 17 Apr 2007)
New Revision: 5037

Added:
   gnuradio/branches/developers/jcorgan/snd/gr-sounder/src/fpga/lib/lfsr.v
Modified:
   
gnuradio/branches/developers/jcorgan/snd/gr-sounder/src/fpga/lib/dac_interface.v
   gnuradio/branches/developers/jcorgan/snd/gr-sounder/src/fpga/lib/sounder_tx.v
   
gnuradio/branches/developers/jcorgan/snd/gr-sounder/src/fpga/rbf/rev2/usrp_sounder.rbf
   
gnuradio/branches/developers/jcorgan/snd/gr-sounder/src/fpga/rbf/rev4/usrp_sounder.rbf
   
gnuradio/branches/developers/jcorgan/snd/gr-sounder/src/fpga/top/usrp_sounder.qsf
   
gnuradio/branches/developers/jcorgan/snd/gr-sounder/src/fpga/top/usrp_sounder.v
Log:
Work in progress, transmitting 2047 chip BPSK modulated PN code.

Modified: 
gnuradio/branches/developers/jcorgan/snd/gr-sounder/src/fpga/lib/dac_interface.v
===================================================================
--- 
gnuradio/branches/developers/jcorgan/snd/gr-sounder/src/fpga/lib/dac_interface.v
    2007-04-17 20:59:57 UTC (rev 5036)
+++ 
gnuradio/branches/developers/jcorgan/snd/gr-sounder/src/fpga/lib/dac_interface.v
    2007-04-17 21:31:54 UTC (rev 5037)
@@ -53,7 +53,7 @@
    
 
 `else // !`ifdef TX_RATE_MAX
-   assign tx_data_o = strobe_i ? tx_i_i : tx_q_i;
+   assign tx_data_o = strobe_i ? tx_q_i : tx_i_i;
    assign tx_sync_o = strobe_i;
 `endif // !`ifdef TX_RATE_MAX
    

Added: gnuradio/branches/developers/jcorgan/snd/gr-sounder/src/fpga/lib/lfsr.v
===================================================================
--- gnuradio/branches/developers/jcorgan/snd/gr-sounder/src/fpga/lib/lfsr.v     
                        (rev 0)
+++ gnuradio/branches/developers/jcorgan/snd/gr-sounder/src/fpga/lib/lfsr.v     
2007-04-17 21:31:54 UTC (rev 5037)
@@ -0,0 +1,47 @@
+// -*- verilog -*-
+//
+//  USRP - Universal Software Radio Peripheral
+//
+//  Copyright (C) 2007 Corgan Enterprises LLC
+//
+//  This program is free software; you can redistribute it and/or modify
+//  it under the terms of the GNU General Public License as published by
+//  the Free Software Foundation; either version 2 of the License, or
+//  (at your option) any later version.
+//
+//  This program is distributed in the hope that it will be useful,
+//  but WITHOUT ANY WARRANTY; without even the implied warranty of
+//  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+//  GNU General Public License for more details.
+//
+//  You should have received a copy of the GNU General Public License
+//  along with this program; if not, write to the Free Software
+//  Foundation, Inc., 51 Franklin Street, Boston, MA  02110-1301  USA
+//
+
+module lfsr(clk_i,rst_i,ena_i,strobe_i,bit_o);
+   input clk_i;
+   input rst_i;
+   input ena_i;
+   input strobe_i;
+   
+   output bit_o;
+
+   reg [10:0] shifter;
+
+   // x^11 + x^2 + 1
+   // M-sequence of length 2^11-1 (2047) @ 32 MHz = ~64us
+   // ~7.6 impulse vector updates per second
+   
+   wire parity = shifter[10]^shifter[1];
+
+   always @(posedge clk_i)
+     if (rst_i | ~ena_i)
+       shifter <= 11'd1;
+     else
+       if (strobe_i)
+        shifter <= {shifter[9:0],parity};
+
+   assign bit_o = shifter[0];
+   
+endmodule // lfsr

Modified: 
gnuradio/branches/developers/jcorgan/snd/gr-sounder/src/fpga/lib/sounder_tx.v
===================================================================
--- 
gnuradio/branches/developers/jcorgan/snd/gr-sounder/src/fpga/lib/sounder_tx.v   
    2007-04-17 20:59:57 UTC (rev 5036)
+++ 
gnuradio/branches/developers/jcorgan/snd/gr-sounder/src/fpga/lib/sounder_tx.v   
    2007-04-17 21:31:54 UTC (rev 5037)
@@ -36,10 +36,12 @@
    output [15:0] tx_q_o;
    output [15:0] debug_o;
 
+   wire bit;
 
-   // Debugging
-   assign       tx_i_o = 16'h7F;
-   assign        tx_q_o = 16'hFF;
-   assign        debug_o = 16'hAA55;
+   lfsr 
pn(.clk_i(clk_i),.rst_i(rst_i),.ena_i(ena_i),.strobe_i(strobe_i),.bit_o(bit));
+   
+   assign tx_i_o = bit ? 16'h7FFF : 16'h8000;   
+   assign tx_q_o = 16'b0;
+   assign debug_o = 16'hAA55;
          
 endmodule // sounder_tx

Modified: 
gnuradio/branches/developers/jcorgan/snd/gr-sounder/src/fpga/rbf/rev2/usrp_sounder.rbf
===================================================================
(Binary files differ)

Modified: 
gnuradio/branches/developers/jcorgan/snd/gr-sounder/src/fpga/rbf/rev4/usrp_sounder.rbf
===================================================================
(Binary files differ)

Modified: 
gnuradio/branches/developers/jcorgan/snd/gr-sounder/src/fpga/top/usrp_sounder.qsf
===================================================================
--- 
gnuradio/branches/developers/jcorgan/snd/gr-sounder/src/fpga/top/usrp_sounder.qsf
   2007-04-17 20:59:57 UTC (rev 5036)
+++ 
gnuradio/branches/developers/jcorgan/snd/gr-sounder/src/fpga/top/usrp_sounder.qsf
   2007-04-17 21:31:54 UTC (rev 5037)
@@ -368,6 +368,7 @@
 set_instance_assignment -name PARTITION_HIERARCHY no_file_for_top_partition 
-to | -section_id Top
 set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
 
+set_global_assignment -name VERILOG_FILE ../lib/lfsr.v
 set_global_assignment -name VERILOG_FILE 
../../../../usrp/fpga/sdr_lib/atr_delay.v
 set_global_assignment -name VERILOG_FILE ../lib/dac_interface.v
 set_global_assignment -name VERILOG_FILE ../lib/dacpll.v

Modified: 
gnuradio/branches/developers/jcorgan/snd/gr-sounder/src/fpga/top/usrp_sounder.v
===================================================================
--- 
gnuradio/branches/developers/jcorgan/snd/gr-sounder/src/fpga/top/usrp_sounder.v 
    2007-04-17 20:59:57 UTC (rev 5036)
+++ 
gnuradio/branches/developers/jcorgan/snd/gr-sounder/src/fpga/top/usrp_sounder.v 
    2007-04-17 21:31:54 UTC (rev 5037)
@@ -116,7 +116,7 @@
    assign      tx_strobe = tx_sample_strobe; // Generated by master_control, 
every other clock
 `endif
 
-   // Transmitter creates a new output sample per sar_tx_strobe
+   // Transmitter creates a new output sample per tx_strobe
    sounder_tx 
transmitter(.clk_i(clk64),.rst_i(master_reset),.ena_i(enable_tx),.strobe_i(tx_strobe),
                          
.saddr_i(serial_addr),.sdata_i(serial_data),.s_strobe_i(serial_strobe),
                          .tx_i_o(tx_i),.tx_q_o(tx_q),.debug_o(tx_debug));





reply via email to

[Prev in Thread] Current Thread [Next in Thread]