commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] r5119 - in gnuradio/branches/developers/n4hy/ofdm: . c


From: n4hy
Subject: [Commit-gnuradio] r5119 - in gnuradio/branches/developers/n4hy/ofdm: . config gnuradio-core/doc gnuradio-core/src/lib/general gr-atsc/src/lib gr-atsc/src/python gr-cvsd-vocoder/src/lib gr-cvsd-vocoder/src/python gr-radio-astronomy/src/python gr-sounder gr-sounder/doc gr-sounder/src gr-sounder/src/fpga gr-sounder/src/fpga/lib gr-sounder/src/fpga/rbf gr-sounder/src/fpga/rbf/rev2 gr-sounder/src/fpga/rbf/rev4 gr-sounder/src/fpga/top gr-sounder/src/lib gr-sounder/src/python usrp/host/lib
Date: Wed, 25 Apr 2007 20:05:07 -0600 (MDT)

Author: n4hy
Date: 2007-04-25 20:05:03 -0600 (Wed, 25 Apr 2007)
New Revision: 5119

Added:
   gnuradio/branches/developers/n4hy/ofdm/config/grc_gr_sounder.m4
   gnuradio/branches/developers/n4hy/ofdm/gr-atsc/src/python/README
   gnuradio/branches/developers/n4hy/ofdm/gr-atsc/src/python/btl-fsd.py
   gnuradio/branches/developers/n4hy/ofdm/gr-atsc/src/python/fpll.py
   gnuradio/branches/developers/n4hy/ofdm/gr-atsc/src/python/interp.py
   gnuradio/branches/developers/n4hy/ofdm/gr-atsc/src/python/viterbi-out.py
   gnuradio/branches/developers/n4hy/ofdm/gr-atsc/src/python/xlate.py
   gnuradio/branches/developers/n4hy/ofdm/gr-sounder/
   gnuradio/branches/developers/n4hy/ofdm/gr-sounder/Makefile.am
   gnuradio/branches/developers/n4hy/ofdm/gr-sounder/README
   gnuradio/branches/developers/n4hy/ofdm/gr-sounder/doc/
   gnuradio/branches/developers/n4hy/ofdm/gr-sounder/doc/Makefile.am
   gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/
   gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/Makefile.am
   gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/
   gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/Makefile.am
   gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/lib/
   gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/lib/Makefile.am
   
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/lib/dac_interface.v
   gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/lib/dacpll.v
   gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/lib/lfsr.v
   
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/lib/lfsr_constants.v
   gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/lib/sounder.v
   gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/lib/sounder_rx.v
   gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/lib/sounder_tx.v
   gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/rbf/
   gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/rbf/Makefile.am
   gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/rbf/rev2/
   
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/rbf/rev2/usrp_sounder.rbf
   gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/rbf/rev4/
   
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/rbf/rev4/usrp_sounder.rbf
   gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/top/
   gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/top/Makefile.am
   gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/top/config.vh
   
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/top/usrp_sounder.csf
   
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/top/usrp_sounder.esf
   
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/top/usrp_sounder.psf
   
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/top/usrp_sounder.qpf
   
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/top/usrp_sounder.qsf
   gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/top/usrp_sounder.v
   gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/lib/
   gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/lib/Makefile.am
   gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/python/
   gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/python/Makefile.am
   gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/python/qa_nothing.py
   gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/python/run_tests.in
   gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/python/usrp_sounder.py
Removed:
   gnuradio/branches/developers/n4hy/ofdm/gr-sounder/Makefile.am
   gnuradio/branches/developers/n4hy/ofdm/gr-sounder/README
   gnuradio/branches/developers/n4hy/ofdm/gr-sounder/doc/
   gnuradio/branches/developers/n4hy/ofdm/gr-sounder/doc/Makefile.am
   gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/
   gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/Makefile.am
   gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/
   gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/Makefile.am
   gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/lib/
   gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/lib/Makefile.am
   
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/lib/dac_interface.v
   gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/lib/dacpll.v
   gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/lib/lfsr.v
   
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/lib/lfsr_constants.v
   gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/lib/sounder.v
   gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/lib/sounder_rx.v
   gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/lib/sounder_tx.v
   gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/rbf/
   gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/rbf/Makefile.am
   gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/rbf/rev2/
   
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/rbf/rev2/usrp_sounder.rbf
   gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/rbf/rev4/
   
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/rbf/rev4/usrp_sounder.rbf
   gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/top/
   gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/top/Makefile.am
   gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/top/config.vh
   
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/top/usrp_sounder.csf
   
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/top/usrp_sounder.esf
   
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/top/usrp_sounder.psf
   
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/top/usrp_sounder.qpf
   
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/top/usrp_sounder.qsf
   gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/top/usrp_sounder.v
   gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/lib/
   gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/lib/Makefile.am
   gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/python/
   gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/python/Makefile.am
   gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/python/qa_nothing.py
   gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/python/run_tests.in
   gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/python/usrp_sounder.py
Modified:
   gnuradio/branches/developers/n4hy/ofdm/config/Makefile.am
   gnuradio/branches/developers/n4hy/ofdm/configure.ac
   gnuradio/branches/developers/n4hy/ofdm/gnuradio-core/doc/Doxyfile.in
   
gnuradio/branches/developers/n4hy/ofdm/gnuradio-core/src/lib/general/gr_costas_loop_cc.h
   gnuradio/branches/developers/n4hy/ofdm/gr-atsc/src/lib/atsc.i
   
gnuradio/branches/developers/n4hy/ofdm/gr-atsc/src/lib/atsc_bit_timing_loop.cc
   gnuradio/branches/developers/n4hy/ofdm/gr-atsc/src/lib/atsc_bit_timing_loop.h
   
gnuradio/branches/developers/n4hy/ofdm/gr-atsc/src/lib/atsc_field_sync_demux.cc
   
gnuradio/branches/developers/n4hy/ofdm/gr-atsc/src/lib/atsc_field_sync_demux.h
   gnuradio/branches/developers/n4hy/ofdm/gr-atsc/src/lib/atsci_sssr.cc
   
gnuradio/branches/developers/n4hy/ofdm/gr-cvsd-vocoder/src/lib/cvsd_decode_bs.h
   
gnuradio/branches/developers/n4hy/ofdm/gr-cvsd-vocoder/src/lib/cvsd_encode_sb.h
   gnuradio/branches/developers/n4hy/ofdm/gr-cvsd-vocoder/src/python/cvsd.py
   
gnuradio/branches/developers/n4hy/ofdm/gr-radio-astronomy/src/python/usrp_ra_receiver.py
   gnuradio/branches/developers/n4hy/ofdm/usrp/host/lib/usrp_basic.cc
Log:
merge r 5034:5117 from trunk

Modified: gnuradio/branches/developers/n4hy/ofdm/config/Makefile.am
===================================================================
--- gnuradio/branches/developers/n4hy/ofdm/config/Makefile.am   2007-04-25 
23:44:24 UTC (rev 5118)
+++ gnuradio/branches/developers/n4hy/ofdm/config/Makefile.am   2007-04-26 
02:05:03 UTC (rev 5119)
@@ -46,6 +46,7 @@
        grc_gr_radar.m4 \
        grc_gr_radio_astronomy.m4 \
        grc_gr_rdf.m4 \
+       grc_gr_sounder.m4 \
        grc_gr_trellis.m4 \
        grc_gr_usrp.m4 \
        grc_gr_video_sdl.m4 \

Copied: gnuradio/branches/developers/n4hy/ofdm/config/grc_gr_sounder.m4 (from 
rev 5117, gnuradio/trunk/config/grc_gr_sounder.m4)
===================================================================
--- gnuradio/branches/developers/n4hy/ofdm/config/grc_gr_sounder.m4             
                (rev 0)
+++ gnuradio/branches/developers/n4hy/ofdm/config/grc_gr_sounder.m4     
2007-04-26 02:05:03 UTC (rev 5119)
@@ -0,0 +1,50 @@
+dnl Copyright 2007 Free Software Foundation, Inc.
+dnl 
+dnl This file is part of GNU Radio
+dnl 
+dnl GNU Radio is free software; you can redistribute it and/or modify
+dnl it under the terms of the GNU General Public License as published by
+dnl the Free Software Foundation; either version 2, or (at your option)
+dnl any later version.
+dnl 
+dnl GNU Radio is distributed in the hope that it will be useful,
+dnl but WITHOUT ANY WARRANTY; without even the implied warranty of
+dnl MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+dnl GNU General Public License for more details.
+dnl 
+dnl You should have received a copy of the GNU General Public License
+dnl along with GNU Radio; see the file COPYING.  If not, write to
+dnl the Free Software Foundation, Inc., 51 Franklin Street,
+dnl Boston, MA 02110-1301, USA.
+
+AC_DEFUN([GRC_GR_SOUNDER],[
+    GRC_ENABLE([gr-sounder])
+
+    AC_CONFIG_FILES([ \
+        gr-sounder/Makefile \
+        gr-sounder/doc/Makefile \
+        gr-sounder/src/Makefile \
+        gr-sounder/src/fpga/Makefile \
+        gr-sounder/src/fpga/top/Makefile \
+         gr-sounder/src/fpga/lib/Makefile \
+        gr-sounder/src/fpga/rbf/Makefile \
+        gr-sounder/src/lib/Makefile \
+        gr-sounder/src/python/Makefile \
+         gr-sounder/src/python/run_tests
+    ])
+
+    passed=yes
+    # Don't do gr-sounder if usrp skipped
+    for dir in $skipped_dirs
+    do
+       if test x$dir = xusrp; then
+           AC_MSG_RESULT([Component gr-sounder requires usrp, which is not 
being built.])
+           passed=no
+       fi
+    done
+
+    GRC_BUILD_CONDITIONAL([gr-sounder],[
+       dnl run_tests is created from run_tests.in.  Make it executable.
+       AC_CONFIG_COMMANDS([run_tests_sounder], [chmod +x 
gr-sounder/src/python/run_tests])
+    ])
+])

Modified: gnuradio/branches/developers/n4hy/ofdm/configure.ac
===================================================================
--- gnuradio/branches/developers/n4hy/ofdm/configure.ac 2007-04-25 23:44:24 UTC 
(rev 5118)
+++ gnuradio/branches/developers/n4hy/ofdm/configure.ac 2007-04-26 02:05:03 UTC 
(rev 5119)
@@ -221,6 +221,7 @@
 GRC_EZDOP              
 GRC_GR_EZDOP                   dnl this must come after GRC_EZDOP
 GRC_GR_RDF
+GRC_GR_SOUNDER                 dnl this must come after GRC_USRP
 GRC_GNURADIO_EXAMPLES          dnl must come last
 
 # Each component is now either to be built, was skipped, or failed dependencies

Modified: gnuradio/branches/developers/n4hy/ofdm/gnuradio-core/doc/Doxyfile.in
===================================================================
--- gnuradio/branches/developers/n4hy/ofdm/gnuradio-core/doc/Doxyfile.in        
2007-04-25 23:44:24 UTC (rev 5118)
+++ gnuradio/branches/developers/n4hy/ofdm/gnuradio-core/doc/Doxyfile.in        
2007-04-26 02:05:03 UTC (rev 5119)
@@ -453,6 +453,7 @@
                         @top_srcdir@/gr-audio-oss \
                         @top_srcdir@/gr-audio-osx \
                         @top_srcdir@/gr-audio-portaudio \
+                        @top_srcdir@/gr-cvsd-vocoder \
                         @top_srcdir@/gr-gsm-fr-vocoder \
                         @top_srcdir@/gr-pager \
                         @top_srcdir@/gr-radio-astronomy \

Modified: 
gnuradio/branches/developers/n4hy/ofdm/gnuradio-core/src/lib/general/gr_costas_loop_cc.h
===================================================================
--- 
gnuradio/branches/developers/n4hy/ofdm/gnuradio-core/src/lib/general/gr_costas_loop_cc.h
    2007-04-25 23:44:24 UTC (rev 5118)
+++ 
gnuradio/branches/developers/n4hy/ofdm/gnuradio-core/src/lib/general/gr_costas_loop_cc.h
    2007-04-26 02:05:03 UTC (rev 5119)
@@ -50,9 +50,8 @@
  *  
  * \param alpha the loop gain used for phase adjustment
  * \param beta the loop gain for frequency adjustments
- * \param max_freq the maximum frequency deviation the loop can handle
- * \param min_freq the minimum frequency deviation the loop can
- * handle
+ * \param max_freq the maximum frequency deviation (normalized frequency) the 
loop can handle
+ * \param min_freq the minimum frequency deviation (normalized frequency) the 
loop can handle
  * \param order the loop order, either 2 or 4
  */
 gr_costas_loop_cc_sptr 
@@ -87,8 +86,8 @@
                     int order
                     ) throw (std::invalid_argument);
 
-  /*! \breif the phase detector circuit for fourth-order loops
-   *  \param a complex sample
+  /*! \brief the phase detector circuit for fourth-order loops
+   *  \param sample complex sample
    *  \return the phase error
    */
   float phase_detector_4(gr_complex sample) const;    // for QPSK

Modified: gnuradio/branches/developers/n4hy/ofdm/gr-atsc/src/lib/atsc.i
===================================================================
--- gnuradio/branches/developers/n4hy/ofdm/gr-atsc/src/lib/atsc.i       
2007-04-25 23:44:24 UTC (rev 5118)
+++ gnuradio/branches/developers/n4hy/ofdm/gr-atsc/src/lib/atsc.i       
2007-04-26 02:05:03 UTC (rev 5119)
@@ -187,7 +187,7 @@
 
 atsc_field_sync_demux_sptr atsc_make_field_sync_demux();
 
-class atsc_field_sync_demux : public gr_sync_decimator
+class atsc_field_sync_demux : public gr_block
 {
   atsc_field_sync_demux();
 

Modified: 
gnuradio/branches/developers/n4hy/ofdm/gr-atsc/src/lib/atsc_bit_timing_loop.cc
===================================================================
--- 
gnuradio/branches/developers/n4hy/ofdm/gr-atsc/src/lib/atsc_bit_timing_loop.cc  
    2007-04-25 23:44:24 UTC (rev 5118)
+++ 
gnuradio/branches/developers/n4hy/ofdm/gr-atsc/src/lib/atsc_bit_timing_loop.cc  
    2007-04-26 02:05:03 UTC (rev 5119)
@@ -28,7 +28,8 @@
 #include <gr_io_signature.h>
 #include <atsc_consts.h>
 
-float input_rate = 20e6;
+// Input rate changed from 20MHz to 19.2 to support usrp at 3 * 6.4MHz
+float input_rate = 19.2e6;
 double ratio_of_rx_clock_to_symbol_freq = input_rate / ATSC_SYMBOL_RATE;
 
 
@@ -44,7 +45,8 @@
                  gr_make_io_signature(1, 1, sizeof(float)),
                  gr_make_io_signature(2, 2, sizeof(float))),
                  d_interp(ratio_of_rx_clock_to_symbol_freq), d_next_input(0),
-                 d_rx_clock_to_symbol_freq (ratio_of_rx_clock_to_symbol_freq)
+                 d_rx_clock_to_symbol_freq (ratio_of_rx_clock_to_symbol_freq),
+                 d_si(0)
 {
   reset();
 }
@@ -56,8 +58,6 @@
   for (unsigned i = 0; i < ninputs; i++)
     ninput_items_required[i] = noutput_items * d_rx_clock_to_symbol_freq + 
1500 - 1;
 
-  inputs0_size = noutput_items * d_rx_clock_to_symbol_freq + 1500 - 1;
-  inputs0_index = d_next_input;
 }
 
 int
@@ -68,7 +68,7 @@
 {
   int   r = work (noutput_items, input_items, output_items);
   if (r > 0)
-    consume_each (r * d_rx_clock_to_symbol_freq);
+    consume_each (d_si);
   return r;
 }
 
@@ -87,7 +87,6 @@
   // We are tasked with producing output.size output samples.
   // We will consume approximately 2 * output.size input samples.
 
-  int           si = 0;         // source index
   unsigned int  k;              // output index
 
   float         interp_sample;
@@ -95,12 +94,16 @@
   double        timing_adjustment = 0;
   bool          seg_locked;
   atsc::syminfo    tag;
+  // ammount requested in forecast
+  unsigned long input_size = noutput_items * d_rx_clock_to_symbol_freq + 1500 
-1;
 
   memset (&tag, 0, sizeof (tag));
 
+  // ammount actually consumed
+  d_si = 0;
   
   for (k = 0; k < noutput_items; k++){
-    if (!d_interp.update (in, inputs0_size, &si, timing_adjustment, 
&interp_sample)){
+    if (!d_interp.update (in, input_size, &d_si, timing_adjustment, 
&interp_sample)){
       fprintf (stderr, "GrAtscBitTimingLoop3: ran short on data...\n");
       break;
     }
@@ -113,6 +116,5 @@
 
   }
 
-  d_next_input += si;    // update next_input so forecast can get us what we 
need
   return k;
 }

Modified: 
gnuradio/branches/developers/n4hy/ofdm/gr-atsc/src/lib/atsc_bit_timing_loop.h
===================================================================
--- 
gnuradio/branches/developers/n4hy/ofdm/gr-atsc/src/lib/atsc_bit_timing_loop.h   
    2007-04-25 23:44:24 UTC (rev 5118)
+++ 
gnuradio/branches/developers/n4hy/ofdm/gr-atsc/src/lib/atsc_bit_timing_loop.h   
    2007-04-26 02:05:03 UTC (rev 5119)
@@ -76,11 +76,9 @@
   atsci_interpolator            d_interp;
   unsigned long long            d_next_input;
   double                        d_rx_clock_to_symbol_freq;
+  int                          d_si;
 
-  unsigned long long            inputs0_index;     // for inputs[0].index
-  unsigned long                        inputs0_size;      // for inputs[0].size
 
-
 };
 
 #endif /* INCLUDED_ATSC_BIT_TIMING_LOOP_H */

Modified: 
gnuradio/branches/developers/n4hy/ofdm/gr-atsc/src/lib/atsc_field_sync_demux.cc
===================================================================
--- 
gnuradio/branches/developers/n4hy/ofdm/gr-atsc/src/lib/atsc_field_sync_demux.cc 
    2007-04-25 23:44:24 UTC (rev 5118)
+++ 
gnuradio/branches/developers/n4hy/ofdm/gr-atsc/src/lib/atsc_field_sync_demux.cc 
    2007-04-26 02:05:03 UTC (rev 5119)
@@ -46,11 +46,12 @@
 }
 
 atsc_field_sync_demux::atsc_field_sync_demux()
-  : gr_sync_decimator("atsc_field_sync_demux",
+  : gr_block("atsc_field_sync_demux",
                  gr_make_io_signature(2, 2, sizeof(float)),
-                 gr_make_io_signature(1, 1, 
sizeof(atsc_soft_data_segment)),DEC),
+                 gr_make_io_signature(1, 1, sizeof(atsc_soft_data_segment))),
                  d_locked(false), d_in_field2(true), d_segment_number(0),
-                 d_next_input(0), d_lost_index(0)
+                 d_next_input(0), d_lost_index(0), d_inputs0_size(0),
+                 d_inputs0_index(0), d_consume(0)
 {
   reset();
 }
@@ -66,14 +67,28 @@
 {
   unsigned ninputs = ninput_items_required.size();
   for (unsigned i = 0; i < ninputs; i++) {
-    ninput_items_required[i] = noutput_items * DEC + 2 * DEC - 1;
+    ninput_items_required[i] = noutput_items * DEC + 2 * DEC ;
 
-  inputs0_index = d_next_input;
-  inputs0_size = noutput_items * DEC + 2 * DEC - 1;
+  d_inputs0_index = d_next_input;
+  d_inputs0_size = noutput_items * DEC + 2 * DEC ;
   }
 }
 
+int
+atsc_field_sync_demux::general_work (int noutput_items,
+                                 gr_vector_int &ninput_items,
+                                 gr_vector_const_void_star &input_items,
+                                 gr_vector_void_star &output_items)
+{
+  int   r = work (noutput_items, input_items, output_items);
+    consume_each (d_consume);
+    // printf("Consumed: %d, produced: %d\n",d_consume,r);
+    // we consume input even if no output is produced
+    // while looking for sync
+  return r;
+}
 
+
 int
 atsc_field_sync_demux::work (int noutput_items,
                       gr_vector_const_void_star &input_items,
@@ -92,7 +107,7 @@
 
     if (d_locked){
       d_locked = false;
-      d_lost_index = inputs0_index + ii;
+      d_lost_index = d_inputs0_index + ii;
       cerr << "atsc_field_sync_demux: lost sync at  "
            << d_lost_index << endl;
     }
@@ -100,9 +115,9 @@
     // ... search for beginning of a field sync
 
     // cerr << "atsc_field_sync_demux: searching for sync at "
-    //      << inputs0_index + ii << endl;
+    //      << d_inputs0_index + ii << endl;
 
-    for (ii = 1; ii < inputs0_size; ii++){
+    for (ii = 1; ii < d_inputs0_size; ii++){
       if (atsc::tag_is_start_field_sync (input_tags[ii])){
         // found one
         d_locked = true;
@@ -116,16 +131,19 @@
           str = "SEGMENT";
 
         cerr << "atsc_field_sync_demux: synced (" << str << ") at "
-             << inputs0_index + ii
-             << " [delta = " << inputs0_index + ii - d_lost_index
+             << d_inputs0_index + ii
+             << " [delta = " << d_inputs0_index + ii - d_lost_index
              << "]\n";
 
         d_next_input += ii;     // update for forecast
+       d_consume = ii;
         return 0;               // no work completed so far
       }
     }
     // no non-NORMAL tag found
     d_next_input += ii;         // update for forecast
+    d_consume = ii;
+    // printf("ii: %d, d_next_input: %d\n",ii,d_next_input);
     return 0;                   // no work completed so far
   }
 
@@ -135,7 +153,7 @@
 
   while (k < noutput_items){
 
-    if (inputs0_size - ii <  ATSC_DATA_SEGMENT_LENGTH){
+    if (d_inputs0_size - ii <  ATSC_DATA_SEGMENT_LENGTH){
       // We're out of input data.
       cerr << "atsc_field_sync_demux: ran out of input data\n";
       d_next_input += ii;       // update for forecast
@@ -145,7 +163,7 @@
     if (!tag_is_seg_sync_or_field_sync (input_tags[ii])){
       // lost sync...
       cerr << "atsc_field_sync_demux: lost sync at "
-           << inputs0_index + ii << endl;
+           << d_inputs0_index + ii << endl;
 
       d_next_input += ii;       // update for forecast
       return k;                 // return amount of work completed so far
@@ -179,6 +197,7 @@
   }
 
   d_next_input += ii;           // update for forecast
+  d_consume = ii;
   return k;                     // return amount of work completed
 
 }

Modified: 
gnuradio/branches/developers/n4hy/ofdm/gr-atsc/src/lib/atsc_field_sync_demux.h
===================================================================
--- 
gnuradio/branches/developers/n4hy/ofdm/gr-atsc/src/lib/atsc_field_sync_demux.h  
    2007-04-25 23:44:24 UTC (rev 5118)
+++ 
gnuradio/branches/developers/n4hy/ofdm/gr-atsc/src/lib/atsc_field_sync_demux.h  
    2007-04-26 02:05:03 UTC (rev 5119)
@@ -22,7 +22,7 @@
 #ifndef INCLUDED_ATSC_FIELD_SYNC_DEMUX_H
 #define INCLUDED_ATSC_FIELD_SYNC_DEMUX_H
 
-#include <gr_sync_decimator.h>
+#include <gr_block.h>
 #include <atsc_types.h>
 
 class atsc_field_sync_demux;
@@ -36,9 +36,8 @@
  * This class accepts 1 stream of floats (data), and 1 stream of tags 
(syminfo). * It outputs one stream of atsc_soft_data_segment packets
  * \ingroup atsc
  *
- * input: atsc_data_segment; output: atsc_data_segment
  */
-class atsc_field_sync_demux : public gr_sync_decimator
+class atsc_field_sync_demux : public gr_block
 {
   friend atsc_field_sync_demux_sptr atsc_make_field_sync_demux();
 
@@ -46,6 +45,13 @@
 
 public:
   void forecast (int noutput_items, gr_vector_int &ninput_items_required);
+
+  int  general_work (int noutput_items,
+                     gr_vector_int &ninput_items,
+                     gr_vector_const_void_star &input_items,
+                     gr_vector_void_star &output_items);
+
+
   int work (int noutput_items,
            gr_vector_const_void_star &input_items,
            gr_vector_void_star &output_items);
@@ -59,8 +65,9 @@
   gr_uint64       d_next_input;
   gr_uint64       d_lost_index;         // diagnostic fluff
 
-  unsigned long long inputs0_index;    // for inputs[0].index
-  unsigned long          inputs0_size;         // for inputs[0].size
+  unsigned long long d_inputs0_index;  // for inputs[0].index
+  unsigned long          d_inputs0_size;               // for inputs[0].size
+  int            d_consume;
 
 };
 

Modified: gnuradio/branches/developers/n4hy/ofdm/gr-atsc/src/lib/atsci_sssr.cc
===================================================================
--- gnuradio/branches/developers/n4hy/ofdm/gr-atsc/src/lib/atsci_sssr.cc        
2007-04-25 23:44:24 UTC (rev 5118)
+++ gnuradio/branches/developers/n4hy/ofdm/gr-atsc/src/lib/atsci_sssr.cc        
2007-04-26 02:05:03 UTC (rev 5119)
@@ -189,7 +189,8 @@
   
 atsci_interpolator::atsci_interpolator (double 
nominal_ratio_of_rx_clock_to_symbol_freq)
 {
-  assert (nominal_ratio_of_rx_clock_to_symbol_freq >= 1.8);
+// Tweaked ratio from 1.8 to 1.78 to support input rate of 19.2MHz
+  assert (nominal_ratio_of_rx_clock_to_symbol_freq >= 1.78);
   d_nominal_ratio_of_rx_clock_to_symbol_freq =
     nominal_ratio_of_rx_clock_to_symbol_freq;
 

Copied: gnuradio/branches/developers/n4hy/ofdm/gr-atsc/src/python/README (from 
rev 5117, gnuradio/trunk/gr-atsc/src/python/README)
===================================================================
--- gnuradio/branches/developers/n4hy/ofdm/gr-atsc/src/python/README            
                (rev 0)
+++ gnuradio/branches/developers/n4hy/ofdm/gr-atsc/src/python/README    
2007-04-26 02:05:03 UTC (rev 5119)
@@ -0,0 +1,32 @@
+Currently the best, fastest software decode is by using
+19.2MSps over 5 processes.
+
+1) Capture data - adjust gain (-g) frequency (-f) and which side
+the tvrx is on to fit your local setup:
+
+usrp_rx_cfile -R B -d 10 -g 65 -f 503e6 atsc_data_6-4m_complex 
+
+
+2) Make pipes:
+
+mkfifo /tmp/atsc_pipe_1
+mkfifo /tmp/atsc_pipe_2
+mkfifo /tmp/atsc_pipe_3
+mkfifo /tmp/atsc_pipe_4
+mkfifo /tmp/atsc_pipe_5
+
+3) In seperate windows run processes:
+
+./interp.py <input rf data at 6.4Msps>
+./xlate.py
+./fpll.py
+./btl-fsd.py
+./viterbi-out.py <output mpeg transport stream>
+
+
+Status: Not fully working.
+
+Currently gr-atsc 2.x will produce the exact amount of data as a working 
system,
+but there are a some differences in the output. If you substitute the 
btl-fsd.py
+block with the corresponding code from gnuradio-0.9 it works.
+

Copied: gnuradio/branches/developers/n4hy/ofdm/gr-atsc/src/python/btl-fsd.py 
(from rev 5117, gnuradio/trunk/gr-atsc/src/python/btl-fsd.py)
===================================================================
--- gnuradio/branches/developers/n4hy/ofdm/gr-atsc/src/python/btl-fsd.py        
                        (rev 0)
+++ gnuradio/branches/developers/n4hy/ofdm/gr-atsc/src/python/btl-fsd.py        
2007-04-26 02:05:03 UTC (rev 5119)
@@ -0,0 +1,28 @@
+#!/usr/bin/python
+
+from gnuradio import gr
+from gnuradio import atsc
+
+fg = gr.flow_graph()
+
+btl = atsc.bit_timing_loop()
+fsc = atsc.fs_checker()
+eq = atsc.equalizer()
+fsd = atsc.field_sync_demux()
+
+out_data = gr.file_sink(atsc.sizeof_atsc_soft_data_segment,"/tmp/atsc_pipe_5")
+
+inp = gr.file_source(gr.sizeof_float,"/tmp/atsc_pipe_3")
+
+fg.connect(inp,btl)
+fg.connect((btl,0),(fsc,0))
+fg.connect((btl,1),(fsc,1))
+fg.connect((fsc,0),(eq,0))
+fg.connect((fsc,1),(eq,1))
+fg.connect((eq,0),(fsd,0))
+fg.connect((eq,1),(fsd,1))
+fg.connect(fsd,out_data)
+
+fg.run()
+
+

Copied: gnuradio/branches/developers/n4hy/ofdm/gr-atsc/src/python/fpll.py (from 
rev 5117, gnuradio/trunk/gr-atsc/src/python/fpll.py)
===================================================================
--- gnuradio/branches/developers/n4hy/ofdm/gr-atsc/src/python/fpll.py           
                (rev 0)
+++ gnuradio/branches/developers/n4hy/ofdm/gr-atsc/src/python/fpll.py   
2007-04-26 02:05:03 UTC (rev 5119)
@@ -0,0 +1,84 @@
+#!/usr/bin/env python
+#
+# Copyright 2004,2005 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 2, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 59 Temple Place - Suite 330,
+# Boston, MA 02111-1307, USA.
+# 
+
+from gnuradio import gr, atsc
+import math
+
+def main():
+
+       fg = gr.flow_graph()
+
+        u = gr.file_source(gr.sizeof_float,"/tmp/atsc_pipe_2")
+
+        input_rate = 19.2e6
+       IF_freq = 5.75e6
+
+
+       # 1/2 as wide because we're designing lp filter
+       symbol_rate = atsc.ATSC_SYMBOL_RATE/2. 
+       NTAPS = 279
+       tt = gr.firdes.root_raised_cosine (1.0, input_rate, symbol_rate, .115, 
NTAPS)
+  # heterodyne the low pass coefficients up to the specified bandpass
+  # center frequency.  Note that when we do this, the filter bandwidth
+  # is effectively twice the low pass (2.69 * 2 = 5.38) and hence
+  # matches the diagram in the ATSC spec.
+       arg = 2. * math.pi * IF_freq / input_rate
+       t=[]
+       for i in range(len(tt)):
+         t += [tt[i] * 2. * math.cos(arg * i)]
+       rrc = gr.fir_filter_fff(1, t)
+
+       fpll = atsc.fpll()
+
+       pilot_freq = IF_freq - 3e6 + 0.31e6
+       lower_edge = 6e6 - 0.31e6
+       upper_edge = IF_freq - 3e6 + pilot_freq
+       transition_width = upper_edge - lower_edge
+       lp_coeffs = gr.firdes.low_pass (1.0,
+                          input_rate,
+                          (lower_edge + upper_edge) * 0.5,
+                           transition_width,
+                           gr.firdes.WIN_HAMMING);
+
+       lp_filter = gr.fir_filter_fff (1,lp_coeffs)
+
+       alpha = 1e-5
+       iir = gr.single_pole_iir_filter_ff(alpha)
+       remove_dc = gr.sub_ff()
+
+       out = gr.file_sink(gr.sizeof_float,"/tmp/atsc_pipe_3")
+       # out = gr.file_sink(gr.sizeof_float,"/mnt/sata/atsc_data_float")
+
+        fg.connect(u, fpll, lp_filter)
+       fg.connect(lp_filter, iir)
+       fg.connect(lp_filter, (remove_dc,0))
+       fg.connect(iir, (remove_dc,1))
+       fg.connect(remove_dc, out)
+
+       fg.run()
+
+
+if __name__ == '__main__':
+    main ()
+
+
+

Copied: gnuradio/branches/developers/n4hy/ofdm/gr-atsc/src/python/interp.py 
(from rev 5117, gnuradio/trunk/gr-atsc/src/python/interp.py)
===================================================================
--- gnuradio/branches/developers/n4hy/ofdm/gr-atsc/src/python/interp.py         
                (rev 0)
+++ gnuradio/branches/developers/n4hy/ofdm/gr-atsc/src/python/interp.py 
2007-04-26 02:05:03 UTC (rev 5119)
@@ -0,0 +1,74 @@
+#!/usr/bin/env /usr/bin/python
+#
+# Copyright 2004 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 2, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 59 Temple Place - Suite 330,
+# Boston, MA 02111-1307, USA.
+# 
+# This module starts the atsc processing chain taking the captured
+# off-air signal created with:
+#
+#  usrp_rx_cfile.py -R <side with tuner, a or b>
+#                   -d 10     set decimation to get signal at 6.4e6 rate
+#                   -f <center of tv signal channel freq>
+#                   -g <appropriate gain for best signal / noise>
+# 
+# All this module does is multiply the sample rate by 3, from 6.4e6 to
+# 19.2e6 complex samples / sec, then lowpass filter with a cutoff of 3.2MHz
+# and a transition band width of .5MHz.  Center of the tv channels is
+# then at 0 with edges at -3.2MHz and 3.2MHz.
+
+from gnuradio import gr
+import sys
+
+def graph (args):
+
+    nargs = len (args)
+    if nargs == 1:
+       infile = args[0]
+    else:
+       sys.stderr.write('usage: interp.py input_file\n')
+       sys.exit (1)
+
+    sampling_freq = 6400000
+
+    fg = gr.flow_graph ()
+
+    src0 = gr.file_source (gr.sizeof_gr_complex,infile)
+    src1 = gr.sig_source_c (sampling_freq, gr.GR_CONST_WAVE, 1, 0)
+    src2 = gr.sig_source_c (sampling_freq, gr.GR_CONST_WAVE, 1, 0)
+
+    interlv = gr.interleave(gr.sizeof_gr_complex)
+
+    lp_coeffs = gr.firdes.low_pass ( 3, 19.2e6, 3.2e6, .5e6, 
gr.firdes.WIN_HAMMING )
+    lp = gr.fir_filter_ccf ( 1, lp_coeffs )
+
+    file = gr.file_sink(gr.sizeof_gr_complex,"/tmp/atsc_pipe_1")
+
+    fg.connect( src0, (interlv, 0) )
+    fg.connect( src1, (interlv, 1) )
+    fg.connect( src2, (interlv, 2) )
+    fg.connect( interlv, lp, file )
+
+    fg.start()
+    raw_input ('Head End: Press Enter to stop')
+    fg.stop()
+
+if __name__ == '__main__':
+    graph (sys.argv[1:])
+
+

Copied: 
gnuradio/branches/developers/n4hy/ofdm/gr-atsc/src/python/viterbi-out.py (from 
rev 5117, gnuradio/trunk/gr-atsc/src/python/viterbi-out.py)
===================================================================
--- gnuradio/branches/developers/n4hy/ofdm/gr-atsc/src/python/viterbi-out.py    
                        (rev 0)
+++ gnuradio/branches/developers/n4hy/ofdm/gr-atsc/src/python/viterbi-out.py    
2007-04-26 02:05:03 UTC (rev 5119)
@@ -0,0 +1,55 @@
+#!/usr/bin/env python
+#
+# Copyright 2004,2006 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 2, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 59 Temple Place - Suite 330,
+# Boston, MA 02111-1307, USA.
+# 
+
+from gnuradio import gr, atsc
+import sys
+
+def main(args):
+
+       nargs = len (args)
+       if nargs == 1:
+          outfile = args[0]
+       else:
+          sys.stderr.write ('usage: viterbi_out.py output_file\n')
+          sys.exit (1)
+
+       fg = gr.flow_graph()
+
+        src = gr.file_source(atsc.sizeof_atsc_soft_data_segment, 
"/tmp/atsc_pipe_5")
+       viterbi = atsc.viterbi_decoder()
+        deinter = atsc.deinterleaver()
+        rs_dec = atsc.rs_decoder()
+        derand = atsc.derandomizer()
+       depad = atsc.depad()
+        dst = gr.file_sink(gr.sizeof_char,outfile)
+       fg.connect(src, viterbi, deinter, rs_dec, derand, depad, dst)
+        fg.run ()
+
+        
+if __name__ == '__main__':
+    main(sys.argv[1:])
+
+
+
+
+
+

Copied: gnuradio/branches/developers/n4hy/ofdm/gr-atsc/src/python/xlate.py 
(from rev 5117, gnuradio/trunk/gr-atsc/src/python/xlate.py)
===================================================================
--- gnuradio/branches/developers/n4hy/ofdm/gr-atsc/src/python/xlate.py          
                (rev 0)
+++ gnuradio/branches/developers/n4hy/ofdm/gr-atsc/src/python/xlate.py  
2007-04-26 02:05:03 UTC (rev 5119)
@@ -0,0 +1,54 @@
+#!/usr/bin/env /usr/bin/python
+#
+# Copyright 2004 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 2, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 59 Temple Place - Suite 330,
+# Boston, MA 02111-1307, USA.
+# 
+# This module upconverts the 19.2e6 sample rate signal from a center
+# of 0 to 5.75e6 and converts to float, to prepare the signal for
+# the old gnuradio 0.9 block (bit timing loop, field sync checker,
+# equalizer and field sync demux), effectively simulating an
+# mc4020 card, except the sample rate is 19.2e6 instead of 20e6.
+#
+# The signal is then centered on 5.75e6 with edges at 5.75 + 3.2 = 8.95MHz
+# and 5.75 - 3.2 = 2.55Mhz, low pass filtered with cutoff at 9Mhz and a
+# transition band width of 1Mhz.
+#
+# Input complex -3.2 to 3.2Mhz, output float 2.55 to 8.95Mhz.
+
+from gnuradio import gr
+
+def graph ():
+    sampling_freq = 19200000
+
+    fg = gr.flow_graph ()
+
+    src0 = gr.file_source (gr.sizeof_gr_complex,"/tmp/atsc_pipe_1")
+
+    duc_coeffs = gr.firdes.low_pass ( 1, 19.2e6, 9e6, 1e6, 
gr.firdes.WIN_HAMMING )
+    duc = gr.freq_xlating_fir_filter_ccf ( 1, duc_coeffs, 5.75e6, 19.2e6 )
+
+    c2f = gr.complex_to_float()
+    file = gr.file_sink(gr.sizeof_float,"/tmp/atsc_pipe_2")
+
+    fg.connect( src0, duc, c2f, file )
+
+    fg.run()
+
+if __name__ == '__main__':
+    graph ()

Modified: 
gnuradio/branches/developers/n4hy/ofdm/gr-cvsd-vocoder/src/lib/cvsd_decode_bs.h
===================================================================
--- 
gnuradio/branches/developers/n4hy/ofdm/gr-cvsd-vocoder/src/lib/cvsd_decode_bs.h 
    2007-04-25 23:44:24 UTC (rev 5118)
+++ 
gnuradio/branches/developers/n4hy/ofdm/gr-cvsd-vocoder/src/lib/cvsd_decode_bs.h 
    2007-04-26 02:05:03 UTC (rev 5119)
@@ -28,6 +28,22 @@
 
 typedef boost::shared_ptr<cvsd_decode_bs> cvsd_decode_bs_sptr;
 
+ /*!
+  * \brief Constructor parameters to initialize the CVSD decoder.  The default
+  * values are modeled after the Bluetooth standard and should not be changed,
+  * except by an advanced user
+  *
+  * \param min_step       Minimum step size used to update the internal 
reference.  Default: "10"
+  * \param max_step       Maximum step size used to update the internal 
reference.  Default: "1280"
+  * \param step_decay     Decay factor applied to step size when there is not 
a run of J output 1s or 0s.  Default: "0.9990234375"  (i.e. 1-1/1024)
+  * \param accum_decay    Decay factor applied to the internal reference 
during every interation of the codec.  Default: "0.96875"  (i.e. 1-1/32)
+  * \param K;             Size of shift register; the number of output bits 
remembered by codec (must be less or equal to 32).  Default: "32"
+  * \param J;             Number of bits in the shift register that are equal; 
i.e. the size of a run of 1s, 0s. Default: "4"
+  * \param pos_accum_max  Maximum integer value allowed for the internal 
reference.  Default: "32767" (2^15 - 1 or MAXSHORT)
+  * \param neg_accum_max  Minimum integer value allowed for the internal 
reference.  Default: "-32767" (-2^15 + 1 or MINSHORT+1)
+  *
+  */
+
 cvsd_decode_bs_sptr cvsd_make_decode_bs (short min_step=10,
                                           short max_step=1280,
                                           double step_decay=0.9990234375,
@@ -37,6 +53,58 @@
                                           short pos_accum_max=32767,
                                           short neg_accum_max=-32767);
 
+/*!
+ * \brief This block performs CVSD audio decoding.  Its design and 
implementation
+ * is modeled after the CVSD encoder/decoder specifications defined in the
+ * Bluetooth standard.
+ *
+ * \ingroup block
+ *
+ * CVSD is a method for encoding speech that seeks to reduce the
+ * bandwidth required for digital voice transmission.  CVSD takes
+ * advantage of strong correlation between samples, quantizing the
+ * difference in amplitude between two consecutive samples.  This
+ * difference requires fewer quantization levels as compared to other
+ * methods that quantize the actual amplitude level, reducing the
+ * bandwidth.  CVSD employs a two level quantizer (one bit) and an
+ * adaptive algorithm that allows for continuous step size adjustment.
+ *
+ * The coder can represent low amplitude signals with accuracy without
+ * sacrificing performance on large amplitude signals, a trade off that
+ * occurs in some non-adaptive modulations.
+ *
+ * The CVSD decoder effectively provides 1-to-8 decompression.  More
+ * specifically, for each incoming input bit, the decoder outputs one
+ * audio sample.  If the input is a "1" bit, the internal reference is
+ * increased appropriately and then outputted as the next estimated audio
+ * sample.  If the input is a "0" bit, the internal reference is
+ * decreased appropriately and then likewise outputted as the next estimated
+ * audio sample.  Grouping 8 input bits together, the encoder essentially
+ * produces 8 output audio samples for everyone one input byte.
+ *
+ * This decoder requires that output audio samples are 2-byte short signed
+ * integers.  The result bandwidth conversion, therefore, is 1 byte of
+ * encoded audio data to 16 output bytes of raw audio data.
+ *
+ * The CVSD decoder module must be post-fixed by a down-converter to
+ * under-sample the audio data after decoding.  The Bluetooth standard
+ * specifically calls for a 8-to-1 decimating down-converter.  This is
+ * required so that so that output sampling rate equals the original input
+ * sampling rate present before the encoder.  In all cases, the output
+ * down-converter rate must be the inverse of the input up-converter rate
+ * before the CVSD encoder.
+ *
+ * References:
+ * 1.  Continuously Variable Slope Delta Modulation (CVSD) A Tutorial,
+ *         Available: 
http://www.eetkorea.com/ARTICLES/2003AUG/A/2003AUG29_NTEK_RFD_AN02.PDF.
+ * 2.  Specification of The Bluetooth System
+ *         Available: 
http://grouper.ieee.org/groups/802/15/Bluetooth/core_10_b.pdf.
+ * 3.  McGarrity, S., Bluetooth Full Duplex Voice and Data Transmission. 2002.
+ *         Bluetooth Voice Simulink� Model, Available:
+ *         
http://www.mathworks.com/company/newsletters/digest/nov01/bluetooth.html
+ *
+ */
+
 class cvsd_decode_bs : public gr_sync_interpolator
 {
 private:
@@ -53,8 +121,17 @@
                  double accum_decay, int K, int J,
                  short pos_accum_max, short neg_accum_max);
 
+  //! Member functions required by the encoder/decoder
+  //! \brief Rounding function specific to CVSD
+  //! \return the input value rounded to the nearest integer
   int cvsd_round(double input);
+  
+  //! \brief A power function specific to CVSD data formats
+  //! \return (radix)^power, where radix and power are short integers
   unsigned int cvsd_pow (short radix, short power);
+ 
+  //! \brief Sums number of 1's in the input
+  //! \return the number of 1s in the four bytes of an input unsigned integer
   unsigned char cvsd_bitwise_sum (unsigned int input);
 
   short d_min_step;
@@ -62,20 +139,17 @@
   double d_step_decay;
   double d_accum_decay;
 
-  //! \brief Size of shift register; the number of output bits remembered in 
shift register
-  int d_K;
+  int d_K;  //!< \brief Size of shift register; the number of output bits 
remembered in shift register
+  int d_J;  //!< \brief Number of bits in the shift register that are equal; 
size of run of 1s, 0s
 
-  //! \brief Number of bits in the shift register that are equal; size of run 
of 1s, 0s
-  int d_J;
-
   short d_pos_accum_max;
   short d_neg_accum_max;
 
-  int d_accum;
-  int d_loop_counter;
-  unsigned int d_runner;
-  unsigned int d_runner_mask;   // 4 bytes, 0 .. 4,294,967,295
-  short d_stepsize;
+  int d_accum;                //!< \brief Current value of internal reference
+  int d_loop_counter;         //!< \brief Current value of the loop counter
+  unsigned int d_runner;      //!< \brief Current value of the shift register
+  unsigned int d_runner_mask; //!< \brief Value of the mask to access the last 
J bits of the shift register
+  short d_stepsize;           //!< \brief Current value of the step sizer
 
  public:
   ~cvsd_decode_bs ();  // public destructor

Modified: 
gnuradio/branches/developers/n4hy/ofdm/gr-cvsd-vocoder/src/lib/cvsd_encode_sb.h
===================================================================
--- 
gnuradio/branches/developers/n4hy/ofdm/gr-cvsd-vocoder/src/lib/cvsd_encode_sb.h 
    2007-04-25 23:44:24 UTC (rev 5118)
+++ 
gnuradio/branches/developers/n4hy/ofdm/gr-cvsd-vocoder/src/lib/cvsd_encode_sb.h 
    2007-04-26 02:05:03 UTC (rev 5119)
@@ -28,6 +28,22 @@
 
 typedef boost::shared_ptr<cvsd_encode_sb> cvsd_encode_sb_sptr;
 
+ /*!
+  * \brief Constructor parameters to initialize the CVSD encoder.  The default
+  * values are modeled after the Bluetooth standard and should not be changed
+  * except by an advanced user
+  *
+  * \param min_step       Minimum step size used to update the internal 
reference.  Default: "10"
+  * \param max_step       Maximum step size used to update the internal 
reference.  Default: "1280"
+  * \param step_decay     Decay factor applied to step size when there is not 
a run of J output 1s or 0s.  Default: "0.9990234375"  (i.e. 1-1/1024)
+  * \param accum_decay    Decay factor applied to the internal reference 
during every interation of the codec.  Default: "0.96875"  (i.e. 1-1/32)
+  * \param K;             Size of shift register; the number of output bits 
remembered by codec (must be less or equal to 32).  Default: "32"
+  * \param J;             Number of bits in the shift register that are equal; 
i.e. the size of a run of 1s, 0s. Default: "4"
+  * \param pos_accum_max  Maximum integer value allowed for the internal 
reference.  Default: "32767" (2^15 - 1 or MAXSHORT)
+  * \param neg_accum_max  Minimum integer value allowed for the internal 
reference.  Default: "-32767" (-2^15 + 1 or MINSHORT+1)
+  *
+  */
+
 cvsd_encode_sb_sptr cvsd_make_encode_sb (short min_step=10,
                                         short max_step=1280,
                                         double step_decay=0.9990234375,
@@ -37,6 +53,60 @@
                                         short pos_accum_max=32767,
                                         short neg_accum_max=-32767);
 
+/*!
+ * \brief This block performs CVSD audio encoding.  Its design and 
implementation
+ * is modeled after the CVSD encoder/decoder specifications defined in the
+ * Bluetooth standard.
+ *
+ * \ingroup block
+ *
+ * CVSD is a method for encoding speech that seeks to reduce the
+ * bandwidth required for digital voice transmission.  CVSD takes
+ * advantage of strong correlation between samples, quantizing the
+ * difference in amplitude between two consecutive samples.  This
+ * difference requires fewer quantization levels as compared to other
+ * methods that quantize the actual amplitude level, reducing the
+ * bandwidth.  CVSD employs a two level quantizer (one bit) and an
+ * adaptive algorithm that allows for continuous step size adjustment.
+ *
+ * The coder can represent low amplitude signals with accuracy without
+ * sacrificing performance on large amplitude signals, a trade off that
+ * occurs in some non-adaptive modulations.
+ *
+ * The CVSD encoder effectively provides 8-to-1 compression.  More
+ * specifically, each incoming audio sample is compared to an internal
+ * reference value.  If the input is greater or equal to the reference,
+ * the encoder outputs a "1" bit.  If the input is less than the reference,
+ * the encoder outputs a "0" bit.  The reference value is then updated
+ * accordingly based on the frequency of outputted "1" or "0" bits.  By
+ * grouping 8 outputs bits together, the encoder essentially produce one
+ * output byte for every 8 input audio samples.
+ *
+ * This encoder requires that input audio samples are 2-byte short signed
+ * integers.  The result bandwidth conversion, therefore, is 16 input bytes
+ * of raw audio data to 1 output byte of encoded audio data.
+ *
+ * The CVSD encoder module must be prefixed by an up-converter to over-sample
+ * the audio data prior to encoding.  The Bluetooth standard specifically
+ * calls for a 1-to-8 interpolating up-converter.  While this reduces the
+ * overall compression of the codec, this is required so that the encoder
+ * can accurately compute the slope between adjacent audio samples and
+ * correctly update its internal reference value.
+ *
+ * References:
+ *
+ * 1.  Continuously Variable Slope Delta Modulation (CVSD) A Tutorial,
+ *     Available: 
http://www.eetkorea.com/ARTICLES/2003AUG/A/2003AUG29_NTEK_RFD_AN02.PDF.
+ *
+ * 2.  Specification of The Bluetooth System
+ *     Available: 
http://grouper.ieee.org/groups/802/15/Bluetooth/core_10_b.pdf.
+ *
+ * 3.  McGarrity, S., Bluetooth Full Duplex Voice and Data Transmission. 2002.
+ *     Bluetooth Voice Simulink� Model, Available:
+ *     http://www.mathworks.com/company/newsletters/digest/nov01/bluetooth.html
+ *
+ */
+
 class cvsd_encode_sb : public gr_sync_decimator
 {
 private:
@@ -53,28 +123,35 @@
                  double accum_decay, int K, int J,
                  short pos_accum_max, short neg_accum_max);
   
+  //! Member functions required by the encoder/decoder
+  //! \brief Rounding function specific to CVSD
+  //! \return the input value rounded to the nearest integer
   int cvsd_round(double input);
+  
+  //! \brief A power function specific to CVSD data formats
+  //! \return (radix)^power, where radix and power are short integers
   unsigned int cvsd_pow (short radix, short power);
+ 
+  //! \brief Sums number of 1's in the input
+  //! \return the number of 1s in the four bytes of an input unsigned integer
   unsigned char cvsd_bitwise_sum (unsigned int input);
 
+  // Members variables related to the CVSD encoder use to update interal 
reference value
   short d_min_step;
   short d_max_step;
   double d_step_decay;
   double d_accum_decay;
 
-  //! \brief Size of shift register; the number of output bits remembered in 
shift register
-  int d_K;
-
-  //! \brief Number of bits in the shift register that are equal; size of run 
of 1s, 0s
-  int d_J;
-
+  int d_K; //!< \brief Size of shift register; the number of output bits 
remembered in shift register
+  int d_J; //!< \brief Number of bits in the shift register that are equal; 
size of run of 1s, 0s
+  
   short d_pos_accum_max;
   short d_neg_accum_max;
 
-  int d_accum;
-  int d_loop_counter;
-  unsigned int d_runner;
-  short d_stepsize;
+  int d_accum;            //!< \brief Current value of internal reference
+  int d_loop_counter;     //!< \brief Current value of the loop counter
+  unsigned int d_runner;  //!< \brief Current value of the shift register
+  short d_stepsize;       //!< \brief Current value of the step sizer
 
  public:
   ~cvsd_encode_sb ();  // public destructor

Modified: 
gnuradio/branches/developers/n4hy/ofdm/gr-cvsd-vocoder/src/python/cvsd.py
===================================================================
--- gnuradio/branches/developers/n4hy/ofdm/gr-cvsd-vocoder/src/python/cvsd.py   
2007-04-25 23:44:24 UTC (rev 5118)
+++ gnuradio/branches/developers/n4hy/ofdm/gr-cvsd-vocoder/src/python/cvsd.py   
2007-04-26 02:05:03 UTC (rev 5119)
@@ -24,12 +24,26 @@
 from gnuradio.vocoder import cvsd_vocoder
 
 class cvsd_encode(gr.hier_block):
-    def __init__(self, fg, resample=8):
+    '''
+    This is a wrapper for the CVSD encoder that performs interpolation and 
filtering
+    necessary to work with the vocoding. It converts an incoming float (+-1) 
to a short, scales
+    it (to 32000; slightly below the maximum value), interpolates it, and then 
vocodes it.
+
+    The incoming sampling rate can be anything, though, of course, the higher 
the sampling rate and the
+    higher the interpolation rate are, the better the sound quality.
+    '''
+    
+    def __init__(self, fg, resample=8, bw=0.5):
+        '''
+        When using the CVSD vocoder, appropriate sampling rates are from 8k to 
64k with resampling rates
+        from 1 to 8. A rate of 8k with a resampling rate of 8 provides a good 
quality signal.
+        '''
         scale_factor = 32000.0
         self.interp = resample
 
         src_scale = gr.multiply_const_ff(scale_factor)
-        interp = gr.interp_fir_filter_fff(self.interp, self.interp*(1,))
+        taps = gr.firdes.low_pass(self.interp, self.interp, bw, 2*bw)
+        interp = gr.interp_fir_filter_fff(self.interp, taps)
         f2s = gr.float_to_short()
         enc = cvsd_vocoder.encode_sb()
 
@@ -38,13 +52,27 @@
 
 
 class cvsd_decode(gr.hier_block):
-    def __init__(self, fg, resample=8):
+    '''
+    This is a wrapper for the CVSD decoder that performs decimation and 
filtering
+    necessary to work with the vocoding. It converts an incoming CVSD-encoded 
short to a float, decodes it
+    to a float, decimates it, and scales it (by 32000; slightly below the 
maximum value to avoid clipping).
+
+    The sampling rate can be anything, though, of course, the higher the 
sampling rate and the
+    higher the interpolation rate are, the better the sound quality.
+    '''
+
+    def __init__(self, fg, resample=8, bw=0.5):
+        '''
+        When using the CVSD vocoder, appropriate sampling rates are from 8k to 
64k with resampling rates
+        from 1 to 8. A rate of 8k with a resampling rate of 8 provides a good 
quality signal.
+        '''
         scale_factor = 32000.0
         self.decim = resample
 
         dec = cvsd_vocoder.decode_bs()
         s2f = gr.short_to_float()
-        decim = gr.fir_filter_fff(self.decim, (1,))
+        taps = gr.firdes.low_pass(1, 1, bw, 2*bw)
+        decim = gr.fir_filter_fff(self.decim, taps)
         sink_scale = gr.multiply_const_ff(1.0/scale_factor)
 
         fg.connect(dec, s2f, decim, sink_scale)

Modified: 
gnuradio/branches/developers/n4hy/ofdm/gr-radio-astronomy/src/python/usrp_ra_receiver.py
===================================================================
--- 
gnuradio/branches/developers/n4hy/ofdm/gr-radio-astronomy/src/python/usrp_ra_receiver.py
    2007-04-25 23:44:24 UTC (rev 5118)
+++ 
gnuradio/branches/developers/n4hy/ofdm/gr-radio-astronomy/src/python/usrp_ra_receiver.py
    2007-04-26 02:05:03 UTC (rev 5119)
@@ -300,18 +300,21 @@
             self.integrator2 = gr.fir_filter_fff (M, tapsM)
             self.integrator3 = gr.single_pole_iir_filter_ff(1.0)
     
+            # The detector
+            self.detector = gr.complex_to_mag_squared()
+
             # Split complex USRP stream into a pair of floats
-            self.splitter = gr.complex_to_float (1);
+            #self.splitter = gr.complex_to_float (1);
     
-            # I squarer (detector)
-            self.multI = gr.multiply_ff();
+#            # I squarer (detector)
+#            self.multI = gr.multiply_ff();
+#    
+#            # Q squarer (detector)
+#            self.multQ = gr.multiply_ff();
+#    
+#            # Adding squared I and Q to produce instantaneous signal power
+#            self.adder = gr.add_ff();
     
-            # Q squarer (detector)
-            self.multQ = gr.multiply_ff();
-    
-            # Adding squared I and Q to produce instantaneous signal power
-            self.adder = gr.add_ff();
-    
             # Signal probe
             self.probe = gr.probe_signal_f();
     
@@ -332,31 +335,35 @@
             self.connect(self.u, self.fft_bandpass, self.scope)
 
         if self.setimode == False:
-            #
-            # The head of the continuum chain
-            #
-            self.connect(self.u, self.splitter)
+#            #
+#            # The head of the continuum chain
+#            #
+#            self.connect(self.u, self.splitter)
+#    
+#            # Connect splitter outputs to multipliers
+#            # First do I^2
+#            self.connect((self.splitter, 0), (self.multI,0))
+#            self.connect((self.splitter, 0), (self.multI,1))
+#    
+#            # Then do Q^2
+#            self.connect((self.splitter, 1), (self.multQ,0))
+#            self.connect((self.splitter, 1), (self.multQ,1))
+#    
+#            # Then sum the squares
+#            self.connect(self.multI, (self.adder,0))
+#            self.connect(self.multQ, (self.adder,1))
+#    
+#            # Connect adder output to two-stages of FIR integrator
+#            #   followed by a single stage IIR integrator, and
+#            #   the calibrator
+#            self.connect(self.adder, self.integrator1, 
+#               self.integrator2, self.integrator3, self.cal_mult, 
+#               self.cal_offs, self.chart)
+
+            self.connect(self.u, self.detector, 
+                self.integrator1, self.integrator2,
+                self.integrator3, self.cal_mult, self.cal_offs, self.chart)
     
-            # Connect splitter outputs to multipliers
-            # First do I^2
-            self.connect((self.splitter, 0), (self.multI,0))
-            self.connect((self.splitter, 0), (self.multI,1))
-    
-            # Then do Q^2
-            self.connect((self.splitter, 1), (self.multQ,0))
-            self.connect((self.splitter, 1), (self.multQ,1))
-    
-            # Then sum the squares
-            self.connect(self.multI, (self.adder,0))
-            self.connect(self.multQ, (self.adder,1))
-    
-            # Connect adder output to two-stages of FIR integrator
-            #   followed by a single stage IIR integrator, and
-            #   the calibrator
-            self.connect(self.adder, self.integrator1, 
-               self.integrator2, self.integrator3, self.cal_mult, 
-               self.cal_offs, self.chart)
-    
             # Connect calibrator to probe
             # SPECIAL NOTE:  I'm setting the ground work here
             #   for completely changing the way local_calibrator

Copied: gnuradio/branches/developers/n4hy/ofdm/gr-sounder (from rev 5117, 
gnuradio/trunk/gr-sounder)


Property changes on: gnuradio/branches/developers/n4hy/ofdm/gr-sounder
___________________________________________________________________
Name: snv:ignore
   + Makefile
Makefile.in

Name: svn:ignore
   + Makefile
Makefile.in


Deleted: gnuradio/branches/developers/n4hy/ofdm/gr-sounder/Makefile.am

Copied: gnuradio/branches/developers/n4hy/ofdm/gr-sounder/Makefile.am (from rev 
5117, gnuradio/trunk/gr-sounder/Makefile.am)
===================================================================
--- gnuradio/branches/developers/n4hy/ofdm/gr-sounder/Makefile.am               
                (rev 0)
+++ gnuradio/branches/developers/n4hy/ofdm/gr-sounder/Makefile.am       
2007-04-26 02:05:03 UTC (rev 5119)
@@ -0,0 +1,24 @@
+#
+# Copyright 2007 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 2, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+include $(top_srcdir)/Makefile.common
+
+SUBDIRS = src doc

Deleted: gnuradio/branches/developers/n4hy/ofdm/gr-sounder/README

Copied: gnuradio/branches/developers/n4hy/ofdm/gr-sounder/README (from rev 
5117, gnuradio/trunk/gr-sounder/README)
===================================================================
--- gnuradio/branches/developers/n4hy/ofdm/gr-sounder/README                    
        (rev 0)
+++ gnuradio/branches/developers/n4hy/ofdm/gr-sounder/README    2007-04-26 
02:05:03 UTC (rev 5119)
@@ -0,0 +1,61 @@
+This is a work-in-progress implementation of a m-sequence based channel 
+sounder for GNU Radio and the USRP.
+
+At present, only the transmitter is implemented. When completed, you
+will be able to place the sounder transmitter at one location, the
+sounder receiver at another location, then determine in real-time the
+channel impulse and frequency response between them.
+
+The sounder uses a custom FPGA bitstream that is able to generate and
+receive a sounder waveform across a full 32 MHz wide swath of RF spectrum;
+the waveform generation and impulse response processing occur in logic in
+the USRP FPGA and not in the host PC.  This avoids the USB throughput 
+bottleneck entirely.  Unfortunately, there is still roll-off in the AD9862
+digital up-converter interpolation filter that impacts the outer 20% of
+bandwidth, but this can be compensated for by measuring and subtracting
+out this response during calibration.
+
+The sounder is based on sending a maximal-length PN code modulated as BPSK
+with the supplied center frequency, with a chip-rate of 32 MHz. The
+receiver (partially implemented at this time but not working yet) correlates
+the received signal across all phases of the PN code and outputs an impulse
+response vector.  As auto-correlation of an m-sequence is near zero for
+any relative phase shift, the actual measured energy at a particular
+phase shift is related to the impulse response for that time delay.  This
+is the same principle used in spread-spectrum RAKE receivers such as are
+used with GPS and CDMA.
+
+The transmitter and receiver are designed to work only with the board in
+side A.  This may be a standalone LFTX/LFRX or an RFX daughterboard.
+
+To use, the following script is installed into $prefix/bin:
+
+usage: usrp_sounder.py [options]
+
+options:
+  -h, --help            show this help message and exit
+  -f FREQ, --frequency=FREQ
+                        set frequency to FREQ in Hz, default is 0.0
+  -t, --transmit        enable sounding transmitter
+  -r, --receive         enable sounding receiver
+  -d DEGREE, --degree=DEGREE
+                        set souding sequence degree (len=2^degree-1), default
+                        is 16
+  -n SAMPLES, --samples=SAMPLES
+                        number of samples to capture on receive, default is
+                        infinite
+  -l, --loopback        enable digital loopback, default is disabled
+
+To use with an LFTX board, set the center frequency to 16M:
+
+$ usrp_sounder.py -f 16M -t
+
+You can vary the m-sequence degree between 1 and 16, which will create
+sequence lengths between 1 (DC) and 65535 (1.023 us).  This will affect
+how frequently the receiver can calculate impulse response vectors (to be
+documented as the receiver is completed.)
+
+Johnathan Corgan
+Corgan Enterprises LLC
address@hidden
+4/25/07

Copied: gnuradio/branches/developers/n4hy/ofdm/gr-sounder/doc (from rev 5117, 
gnuradio/trunk/gr-sounder/doc)


Property changes on: gnuradio/branches/developers/n4hy/ofdm/gr-sounder/doc
___________________________________________________________________
Name: svn:ignore
   + Makefile
Makefile.in


Deleted: gnuradio/branches/developers/n4hy/ofdm/gr-sounder/doc/Makefile.am

Copied: gnuradio/branches/developers/n4hy/ofdm/gr-sounder/doc/Makefile.am (from 
rev 5117, gnuradio/trunk/gr-sounder/doc/Makefile.am)
===================================================================
--- gnuradio/branches/developers/n4hy/ofdm/gr-sounder/doc/Makefile.am           
                (rev 0)
+++ gnuradio/branches/developers/n4hy/ofdm/gr-sounder/doc/Makefile.am   
2007-04-26 02:05:03 UTC (rev 5119)
@@ -0,0 +1,23 @@
+#
+# Copyright 2007 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 2, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+include $(top_srcdir)/Makefile.common
+

Copied: gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src (from rev 5117, 
gnuradio/trunk/gr-sounder/src)


Property changes on: gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src
___________________________________________________________________
Name: svn:ignore
   + Makefile
Makefile.in


Deleted: gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/Makefile.am

Copied: gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/Makefile.am (from 
rev 5117, gnuradio/trunk/gr-sounder/src/Makefile.am)
===================================================================
--- gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/Makefile.am           
                (rev 0)
+++ gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/Makefile.am   
2007-04-26 02:05:03 UTC (rev 5119)
@@ -0,0 +1,24 @@
+#
+# Copyright 2007 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 2, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+include $(top_srcdir)/Makefile.common
+
+SUBDIRS = fpga lib python

Copied: gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga (from rev 
5117, gnuradio/trunk/gr-sounder/src/fpga)


Property changes on: gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga
___________________________________________________________________
Name: svn:ignore
   + Makefile
Makefile.in



Deleted: gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/Makefile.am

Copied: gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/Makefile.am 
(from rev 5117, gnuradio/trunk/gr-sounder/src/fpga/Makefile.am)
===================================================================
--- gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/Makefile.am      
                        (rev 0)
+++ gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/Makefile.am      
2007-04-26 02:05:03 UTC (rev 5119)
@@ -0,0 +1,24 @@
+#
+# Copyright 2007 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 2, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+include $(top_srcdir)/Makefile.common
+
+SUBDIRS = top lib rbf

Copied: gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/lib (from 
rev 5117, gnuradio/trunk/gr-sounder/src/fpga/lib)


Property changes on: 
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/lib
___________________________________________________________________
Name: svn:ignore
   + Makefile
Makefile.in


Deleted: 
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/lib/Makefile.am

Copied: 
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/lib/Makefile.am 
(from rev 5117, gnuradio/trunk/gr-sounder/src/fpga/lib/Makefile.am)
===================================================================
--- gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/lib/Makefile.am  
                        (rev 0)
+++ gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/lib/Makefile.am  
2007-04-26 02:05:03 UTC (rev 5119)
@@ -0,0 +1,30 @@
+#
+# Copyright 2007 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 2, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+include $(top_srcdir)/Makefile.common
+
+EXTRA_DIST = \
+       dac_interface.v \
+       dacpll.v \
+       sounder.v \
+       sounder_rx.v \
+       sounder_tx.v
+

Deleted: 
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/lib/dac_interface.v

Copied: 
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/lib/dac_interface.v 
(from rev 5117, gnuradio/trunk/gr-sounder/src/fpga/lib/dac_interface.v)
===================================================================
--- 
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/lib/dac_interface.v  
                            (rev 0)
+++ 
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/lib/dac_interface.v  
    2007-04-26 02:05:03 UTC (rev 5119)
@@ -0,0 +1,60 @@
+// -*- verilog -*-
+//
+//  USRP - Universal Software Radio Peripheral
+//
+//  Copyright (C) 2007 Corgan Enterprises LLC
+//
+//  This program is free software; you can redistribute it and/or modify
+//  it under the terms of the GNU General Public License as published by
+//  the Free Software Foundation; either version 2 of the License, or
+//  (at your option) any later version.
+//
+//  This program is distributed in the hope that it will be useful,
+//  but WITHOUT ANY WARRANTY; without even the implied warranty of
+//  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+//  GNU General Public License for more details.
+//
+//  You should have received a copy of the GNU General Public License
+//  along with this program; if not, write to the Free Software
+//  Foundation, Inc., 51 Franklin Street, Boston, MA  02110-1301  USA
+//
+
+`include "../top/config.vh"
+
+module 
dac_interface(clk_i,rst_i,ena_i,strobe_i,tx_i_i,tx_q_i,tx_data_o,tx_sync_o);
+   input clk_i;
+   input rst_i;
+   input ena_i;
+   input strobe_i;
+   
+   input [15:0] tx_i_i;
+   input [15:0] tx_q_i;
+
+   output [15:0] tx_data_o;
+   output       tx_sync_o;
+
+`ifdef TX_RATE_MAX
+   wire clk128;
+   reg clk64_d;
+   reg [15:0] tx_data_o;
+   
+   // Create a 128 MHz clock
+   dacpll pll128(.areset(rst_i),.inclk0(clk_i),.c0(clk128));
+
+   // Register the clk64 clock in the clk128 domain
+   always @(posedge clk128)
+     clk64_d <= clk_i;
+
+   // Register the tx data in the clk128 domain
+   always @(posedge clk128)
+     tx_data_o <= clk64_d ? tx_i_i : tx_q_i;
+
+   assign tx_sync_o = clk64_d;
+   
+
+`else // !`ifdef TX_RATE_MAX
+   assign tx_data_o = strobe_i ? tx_q_i : tx_i_i;
+   assign tx_sync_o = strobe_i;
+`endif // !`ifdef TX_RATE_MAX
+   
+endmodule // dac_interface

Deleted: gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/lib/dacpll.v

Copied: gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/lib/dacpll.v 
(from rev 5117, gnuradio/trunk/gr-sounder/src/fpga/lib/dacpll.v)
===================================================================
--- gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/lib/dacpll.v     
                        (rev 0)
+++ gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/lib/dacpll.v     
2007-04-26 02:05:03 UTC (rev 5119)
@@ -0,0 +1,291 @@
+// megafunction wizard: %ALTPLL%
+// GENERATION: STANDARD
+// VERSION: WM1.0
+// MODULE: altpll 
+
+// ============================================================
+// File Name: dacpll.v
+// Megafunction Name(s):
+//                     altpll
+//
+// Simulation Library Files(s):
+//                     altera_mf
+// ============================================================
+// ************************************************************
+// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
+//
+// 7.0 Build 33 02/05/2007 SJ Web Edition
+// ************************************************************
+
+
+//Copyright (C) 1991-2007 Altera Corporation
+//Your use of Altera Corporation's design tools, logic functions 
+//and other software and tools, and its AMPP partner logic 
+//functions, and any output files from any of the foregoing 
+//(including device programming or simulation files), and any 
+//associated documentation or information are expressly subject 
+//to the terms and conditions of the Altera Program License 
+//Subscription Agreement, Altera MegaCore Function License 
+//Agreement, or other applicable license agreement, including, 
+//without limitation, that your use is for the sole purpose of 
+//programming logic devices manufactured by Altera and sold by 
+//Altera or its authorized distributors.  Please refer to the 
+//applicable agreement for further details.
+
+
+// synopsys translate_off
+`timescale 1 ps / 1 ps
+// synopsys translate_on
+module dacpll (
+       areset,
+       inclk0,
+       c0);
+
+       input     areset;
+       input     inclk0;
+       output    c0;
+
+       wire [5:0] sub_wire0;
+       wire [0:0] sub_wire4 = 1'h0;
+       wire [0:0] sub_wire1 = sub_wire0[0:0];
+       wire  c0 = sub_wire1;
+       wire  sub_wire2 = inclk0;
+       wire [1:0] sub_wire3 = {sub_wire4, sub_wire2};
+
+       altpll  altpll_component (
+                               .inclk (sub_wire3),
+                               .areset (areset),
+                               .clk (sub_wire0),
+                               .activeclock (),
+                               .clkbad (),
+                               .clkena ({6{1'b1}}),
+                               .clkloss (),
+                               .clkswitch (1'b0),
+                               .configupdate (1'b1),
+                               .enable0 (),
+                               .enable1 (),
+                               .extclk (),
+                               .extclkena ({4{1'b1}}),
+                               .fbin (1'b1),
+                               .fbout (),
+                               .locked (),
+                               .pfdena (1'b1),
+                               .phasecounterselect ({4{1'b1}}),
+                               .phasedone (),
+                               .phasestep (1'b1),
+                               .phaseupdown (1'b1),
+                               .pllena (1'b1),
+                               .scanaclr (1'b0),
+                               .scanclk (1'b0),
+                               .scanclkena (1'b1),
+                               .scandata (1'b0),
+                               .scandataout (),
+                               .scandone (),
+                               .scanread (1'b0),
+                               .scanwrite (1'b0),
+                               .sclkout0 (),
+                               .sclkout1 (),
+                               .vcooverrange (),
+                               .vcounderrange ());
+       defparam
+               altpll_component.clk0_divide_by = 1,
+               altpll_component.clk0_duty_cycle = 50,
+               altpll_component.clk0_multiply_by = 2,
+               altpll_component.clk0_phase_shift = "0000",
+               altpll_component.compensate_clock = "CLK0",
+               altpll_component.inclk0_input_frequency = 15625,
+               altpll_component.intended_device_family = "Cyclone",
+               altpll_component.lpm_type = "altpll",
+               altpll_component.operation_mode = "NORMAL",
+               altpll_component.pll_type = "AUTO",
+               altpll_component.port_activeclock = "PORT_UNUSED",
+               altpll_component.port_areset = "PORT_USED",
+               altpll_component.port_clkbad0 = "PORT_UNUSED",
+               altpll_component.port_clkbad1 = "PORT_UNUSED",
+               altpll_component.port_clkloss = "PORT_UNUSED",
+               altpll_component.port_clkswitch = "PORT_UNUSED",
+               altpll_component.port_configupdate = "PORT_UNUSED",
+               altpll_component.port_fbin = "PORT_UNUSED",
+               altpll_component.port_inclk0 = "PORT_USED",
+               altpll_component.port_inclk1 = "PORT_UNUSED",
+               altpll_component.port_locked = "PORT_UNUSED",
+               altpll_component.port_pfdena = "PORT_UNUSED",
+               altpll_component.port_phasecounterselect = "PORT_UNUSED",
+               altpll_component.port_phasedone = "PORT_UNUSED",
+               altpll_component.port_phasestep = "PORT_UNUSED",
+               altpll_component.port_phaseupdown = "PORT_UNUSED",
+               altpll_component.port_pllena = "PORT_UNUSED",
+               altpll_component.port_scanaclr = "PORT_UNUSED",
+               altpll_component.port_scanclk = "PORT_UNUSED",
+               altpll_component.port_scanclkena = "PORT_UNUSED",
+               altpll_component.port_scandata = "PORT_UNUSED",
+               altpll_component.port_scandataout = "PORT_UNUSED",
+               altpll_component.port_scandone = "PORT_UNUSED",
+               altpll_component.port_scanread = "PORT_UNUSED",
+               altpll_component.port_scanwrite = "PORT_UNUSED",
+               altpll_component.port_clk0 = "PORT_USED",
+               altpll_component.port_clk1 = "PORT_UNUSED",
+               altpll_component.port_clk3 = "PORT_UNUSED",
+               altpll_component.port_clk4 = "PORT_UNUSED",
+               altpll_component.port_clk5 = "PORT_UNUSED",
+               altpll_component.port_clkena0 = "PORT_UNUSED",
+               altpll_component.port_clkena1 = "PORT_UNUSED",
+               altpll_component.port_clkena3 = "PORT_UNUSED",
+               altpll_component.port_clkena4 = "PORT_UNUSED",
+               altpll_component.port_clkena5 = "PORT_UNUSED",
+               altpll_component.port_extclk0 = "PORT_UNUSED",
+               altpll_component.port_extclk1 = "PORT_UNUSED",
+               altpll_component.port_extclk2 = "PORT_UNUSED",
+               altpll_component.port_extclk3 = "PORT_UNUSED";
+
+
+endmodule
+
+// ============================================================
+// CNX file retrieval info
+// ============================================================
+// Retrieval info: PRIVATE: ACTIVECLK_CHECK STRING "0"
+// Retrieval info: PRIVATE: BANDWIDTH STRING "1.000"
+// Retrieval info: PRIVATE: BANDWIDTH_FEATURE_ENABLED STRING "0"
+// Retrieval info: PRIVATE: BANDWIDTH_FREQ_UNIT STRING "MHz"
+// Retrieval info: PRIVATE: BANDWIDTH_PRESET STRING "Low"
+// Retrieval info: PRIVATE: BANDWIDTH_USE_AUTO STRING "1"
+// Retrieval info: PRIVATE: BANDWIDTH_USE_CUSTOM STRING "0"
+// Retrieval info: PRIVATE: BANDWIDTH_USE_PRESET STRING "0"
+// Retrieval info: PRIVATE: CLKBAD_SWITCHOVER_CHECK STRING "0"
+// Retrieval info: PRIVATE: CLKLOSS_CHECK STRING "0"
+// Retrieval info: PRIVATE: CLKSWITCH_CHECK STRING "0"
+// Retrieval info: PRIVATE: CNX_NO_COMPENSATE_RADIO STRING "0"
+// Retrieval info: PRIVATE: CREATE_CLKBAD_CHECK STRING "0"
+// Retrieval info: PRIVATE: CREATE_INCLK1_CHECK STRING "0"
+// Retrieval info: PRIVATE: CUR_DEDICATED_CLK STRING "c0"
+// Retrieval info: PRIVATE: CUR_FBIN_CLK STRING "e0"
+// Retrieval info: PRIVATE: DEVICE_FAMILY NUMERIC "11"
+// Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "8"
+// Retrieval info: PRIVATE: DEV_FAMILY STRING "Cyclone"
+// Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "1"
+// Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000"
+// Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0"
+// Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1"
+// Retrieval info: PRIVATE: GLOCKED_FEATURE_ENABLED STRING "0"
+// Retrieval info: PRIVATE: GLOCKED_MODE_CHECK STRING "0"
+// Retrieval info: PRIVATE: GLOCK_COUNTER_EDIT NUMERIC "1048575"
+// Retrieval info: PRIVATE: HAS_MANUAL_SWITCHOVER STRING "1"
+// Retrieval info: PRIVATE: INCLK0_FREQ_EDIT STRING "64.000"
+// Retrieval info: PRIVATE: INCLK0_FREQ_UNIT_COMBO STRING "MHz"
+// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT STRING "100.000"
+// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT_CHANGED STRING "1"
+// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_CHANGED STRING "1"
+// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_COMBO STRING "MHz"
+// Retrieval info: PRIVATE: INT_FEEDBACK__MODE_RADIO STRING "1"
+// Retrieval info: PRIVATE: LOCKED_OUTPUT_CHECK STRING "0"
+// Retrieval info: PRIVATE: LOCK_LOSS_SWITCHOVER_CHECK STRING "0"
+// Retrieval info: PRIVATE: LONG_SCAN_RADIO STRING "1"
+// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE STRING "512.000"
+// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE_DIRTY NUMERIC "0"
+// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "deg"
+// Retrieval info: PRIVATE: MIRROR_CLK0 STRING "0"
+// Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "2"
+// Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "1"
+// Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "100.00000000"
+// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "0"
+// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz"
+// Retrieval info: PRIVATE: PHASE_RECONFIG_FEATURE_ENABLED STRING "0"
+// Retrieval info: PRIVATE: PHASE_RECONFIG_INPUTS_CHECK STRING "0"
+// Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "0.00000000"
+// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT0 STRING "ns"
+// Retrieval info: PRIVATE: PLL_ADVANCED_PARAM_CHECK STRING "0"
+// Retrieval info: PRIVATE: PLL_ARESET_CHECK STRING "1"
+// Retrieval info: PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1"
+// Retrieval info: PRIVATE: PLL_ENA_CHECK STRING "0"
+// Retrieval info: PRIVATE: PLL_ENHPLL_CHECK NUMERIC "0"
+// Retrieval info: PRIVATE: PLL_FASTPLL_CHECK NUMERIC "0"
+// Retrieval info: PRIVATE: PLL_LVDS_PLL_CHECK NUMERIC "0"
+// Retrieval info: PRIVATE: PLL_PFDENA_CHECK STRING "0"
+// Retrieval info: PRIVATE: PLL_TARGET_HARCOPY_CHECK NUMERIC "0"
+// Retrieval info: PRIVATE: PRIMARY_CLK_COMBO STRING "inclk0"
+// Retrieval info: PRIVATE: SACN_INPUTS_CHECK STRING "0"
+// Retrieval info: PRIVATE: SCAN_FEATURE_ENABLED STRING "0"
+// Retrieval info: PRIVATE: SELF_RESET_LOCK_LOSS STRING "0"
+// Retrieval info: PRIVATE: SHORT_SCAN_RADIO STRING "0"
+// Retrieval info: PRIVATE: SPREAD_FEATURE_ENABLED STRING "0"
+// Retrieval info: PRIVATE: SPREAD_FREQ STRING "50.000"
+// Retrieval info: PRIVATE: SPREAD_FREQ_UNIT STRING "KHz"
+// Retrieval info: PRIVATE: SPREAD_PERCENT STRING "0.500"
+// Retrieval info: PRIVATE: SPREAD_USE STRING "0"
+// Retrieval info: PRIVATE: SRC_SYNCH_COMP_RADIO STRING "0"
+// Retrieval info: PRIVATE: STICKY_CLK0 STRING "1"
+// Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1"
+// Retrieval info: PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "0"
+// Retrieval info: PRIVATE: USE_CLK0 STRING "1"
+// Retrieval info: PRIVATE: USE_CLKENA0 STRING "0"
+// Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0"
+// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
+// Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "1"
+// Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50"
+// Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "2"
+// Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "0"
+// Retrieval info: CONSTANT: COMPENSATE_CLOCK STRING "CLK0"
+// Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "15625"
+// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone"
+// Retrieval info: CONSTANT: LPM_TYPE STRING "altpll"
+// Retrieval info: CONSTANT: OPERATION_MODE STRING "NORMAL"
+// Retrieval info: CONSTANT: PLL_TYPE STRING "AUTO"
+// Retrieval info: CONSTANT: PORT_ACTIVECLOCK STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_ARESET STRING "PORT_USED"
+// Retrieval info: CONSTANT: PORT_CLKBAD0 STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_CLKBAD1 STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_CLKLOSS STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_CLKSWITCH STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_CONFIGUPDATE STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_FBIN STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_INCLK0 STRING "PORT_USED"
+// Retrieval info: CONSTANT: PORT_INCLK1 STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_LOCKED STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_PFDENA STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_PHASECOUNTERSELECT STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_PHASEDONE STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_PHASESTEP STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_PHASEUPDOWN STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_PLLENA STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_SCANACLR STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_SCANCLK STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_SCANCLKENA STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_SCANDATA STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_SCANDATAOUT STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_SCANDONE STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_SCANREAD STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_SCANWRITE STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_clk0 STRING "PORT_USED"
+// Retrieval info: CONSTANT: PORT_clk1 STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_clk3 STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_clk4 STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_clk5 STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_clkena0 STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_clkena1 STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_clkena3 STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_clkena4 STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_clkena5 STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_extclk0 STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_extclk1 STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_extclk2 STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_extclk3 STRING "PORT_UNUSED"
+// Retrieval info: USED_PORT: @clk 0 0 6 0 OUTPUT_CLK_EXT VCC "@clk[5..0]"
+// Retrieval info: USED_PORT: @extclk 0 0 4 0 OUTPUT_CLK_EXT VCC 
"@extclk[3..0]"
+// Retrieval info: USED_PORT: areset 0 0 0 0 INPUT GND "areset"
+// Retrieval info: USED_PORT: c0 0 0 0 0 OUTPUT_CLK_EXT VCC "c0"
+// Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT_CLK_EXT GND "inclk0"
+// Retrieval info: CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0
+// Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0
+// Retrieval info: CONNECT: @inclk 0 0 1 1 GND 0 0 0 0
+// Retrieval info: CONNECT: @areset 0 0 0 0 areset 0 0 0 0
+// Retrieval info: GEN_FILE: TYPE_NORMAL dacpll.v TRUE FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL dacpll.ppf TRUE FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL dacpll.inc FALSE FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL dacpll.cmp FALSE FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL dacpll.bsf TRUE
+// Retrieval info: GEN_FILE: TYPE_NORMAL dacpll_inst.v TRUE FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL dacpll_bb.v TRUE FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL dacpll_waveforms.html TRUE FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL dacpll_wave*.jpg FALSE FALSE
+// Retrieval info: LIB_FILE: altera_mf

Deleted: gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/lib/lfsr.v

Copied: gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/lib/lfsr.v 
(from rev 5117, gnuradio/trunk/gr-sounder/src/fpga/lib/lfsr.v)
===================================================================
--- gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/lib/lfsr.v       
                        (rev 0)
+++ gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/lib/lfsr.v       
2007-04-26 02:05:03 UTC (rev 5119)
@@ -0,0 +1,46 @@
+// -*- verilog -*-
+//
+//  USRP - Universal Software Radio Peripheral
+//
+//  Copyright (C) 2007 Corgan Enterprises LLC
+//
+//  This program is free software; you can redistribute it and/or modify
+//  it under the terms of the GNU General Public License as published by
+//  the Free Software Foundation; either version 2 of the License, or
+//  (at your option) any later version.
+//
+//  This program is distributed in the hope that it will be useful,
+//  but WITHOUT ANY WARRANTY; without even the implied warranty of
+//  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+//  GNU General Public License for more details.
+//
+//  You should have received a copy of the GNU General Public License
+//  along with this program; if not, write to the Free Software
+//  Foundation, Inc., 51 Franklin Street, Boston, MA  02110-1301  USA
+//
+
+module lfsr(clk_i,rst_i,ena_i,strobe_i,mask_i,pn_o);
+   parameter width = 16;
+
+   input clk_i;
+   input rst_i;
+   input ena_i;
+   input strobe_i;
+   input [width-1:0] mask_i;
+   
+   output pn_o;
+
+   reg  [width-1:0] shifter;
+
+   wire parity = ^(shifter & mask_i);
+   
+   always @(posedge clk_i)
+     if (rst_i | ~ena_i)
+       shifter <= 1;
+     else
+       if (strobe_i)
+        shifter <= {shifter[width-2:0],parity};
+
+   assign pn_o = shifter[0];
+   
+endmodule // lfsr

Deleted: 
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/lib/lfsr_constants.v

Copied: 
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/lib/lfsr_constants.v 
(from rev 5117, gnuradio/trunk/gr-sounder/src/fpga/lib/lfsr_constants.v)
===================================================================
--- 
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/lib/lfsr_constants.v 
                            (rev 0)
+++ 
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/lib/lfsr_constants.v 
    2007-04-26 02:05:03 UTC (rev 5119)
@@ -0,0 +1,51 @@
+// -*- verilog -*-
+//
+//  USRP - Universal Software Radio Peripheral
+//
+//  Copyright (C) 2007 Corgan Enterprises LLC
+//
+//  This program is free software; you can redistribute it and/or modify
+//  it under the terms of the GNU General Public License as published by
+//  the Free Software Foundation; either version 2 of the License, or
+//  (at your option) any later version.
+//
+//  This program is distributed in the hope that it will be useful,
+//  but WITHOUT ANY WARRANTY; without even the implied warranty of
+//  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+//  GNU General Public License for more details.
+//
+//  You should have received a copy of the GNU General Public License
+//  along with this program; if not, write to the Free Software
+//  Foundation, Inc., 51 Franklin Street, Boston, MA  02110-1301  USA
+//
+
+module lfsr_constants(degree_i,mask_o,len_o);
+   input  wire [4:0]  degree_i;
+   output reg  [15:0] mask_o;
+   output wire [15:0] len_o;
+   
+   assign len_o = (16'b1 << degree_i) - 1;
+
+   always @*
+     case (degree_i)
+       5'd00: mask_o = 16'h0000;
+       5'd01: mask_o = 16'h0001;
+       5'd02: mask_o = 16'h0003;
+       5'd03: mask_o = 16'h0005;
+       5'd04: mask_o = 16'h0009;
+       5'd05: mask_o = 16'h0012;
+       5'd06: mask_o = 16'h0021;
+       5'd07: mask_o = 16'h0041;
+       5'd08: mask_o = 16'h008E;
+       5'd09: mask_o = 16'h0108;
+       5'd10: mask_o = 16'h0204;
+       5'd11: mask_o = 16'h0402;
+       5'd12: mask_o = 16'h0829;
+       5'd13: mask_o = 16'h100D;
+       5'd14: mask_o = 16'h2015;
+       5'd15: mask_o = 16'h4001;
+       5'd16: mask_o = 16'h8016;
+       default: mask_o = 16'h0000;
+     endcase // case(degree_i)
+
+endmodule // lfsr_constants

Deleted: 
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/lib/sounder.v

Copied: 
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/lib/sounder.v (from 
rev 5117, gnuradio/trunk/gr-sounder/src/fpga/lib/sounder.v)
===================================================================
--- gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/lib/sounder.v    
                        (rev 0)
+++ gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/lib/sounder.v    
2007-04-26 02:05:03 UTC (rev 5119)
@@ -0,0 +1,86 @@
+// -*- verilog -*-
+//
+//  USRP - Universal Software Radio Peripheral
+//
+//  Copyright (C) 2007 Corgan Enterprises LLC
+//
+//  This program is free software; you can redistribute it and/or modify
+//  it under the terms of the GNU General Public License as published by
+//  the Free Software Foundation; either version 2 of the License, or
+//  (at your option) any later version.
+//
+//  This program is distributed in the hope that it will be useful,
+//  but WITHOUT ANY WARRANTY; without even the implied warranty of
+//  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+//  GNU General Public License for more details.
+//
+//  You should have received a copy of the GNU General Public License
+//  along with this program; if not, write to the Free Software
+//  Foundation, Inc., 51 Franklin Street, Boston, MA  02110-1301  USA
+//
+
+`include "../../../../usrp/firmware/include/fpga_regs_common.v"
+`include "../../../../usrp/firmware/include/fpga_regs_standard.v"
+
+module 
sounder(clk_i,saddr_i,sdata_i,s_strobe_i,tx_rst_i,tx_enable_i,tx_strobe_i,
+              tx_dac_i_o,tx_dac_q_o,
+              rx_rst_i,rx_enable_i,rx_strobe_i,rx_strobe_o,
+              rx_adc_i_i,rx_adc_q_i,rx_imp_i_o,rx_imp_q_o);
+
+   // System interface
+   input         clk_i;                // Master clock @ 64 MHz
+   input  [6:0]  saddr_i;      // Configuration bus address
+   input  [31:0] sdata_i;      // Configuration bus data
+   input        s_strobe_i;    // Configuration bus write
+   
+   // Transmit subsystem
+   input         tx_rst_i;     // Independent subsystem reset
+   input         tx_enable_i;  // Turn on transmitter functionality
+   input         tx_strobe_i;  // Generate an transmitter output sample
+   output [15:0] tx_dac_i_o;   // I channel transmitter output to DAC
+   output [15:0] tx_dac_q_o;    // Q channel transmitter output to DAC
+
+   // Receive subsystem
+   input        rx_rst_i;      // Independent subsystem reset
+   input        rx_enable_i;   // Turn on receiver functionality
+   input         rx_strobe_i;  // Indicates receive sample ready from ADC
+   output       rx_strobe_o;   // Indicates output samples ready for Rx FIFO
+   input  [15:0] rx_adc_i_i;   // I channel input from ADC
+   input  [15:0] rx_adc_q_i;   // Q channel input from ADC
+   output [15:0] rx_imp_i_o;   // I channel impulse response to Rx FIFO
+   output [15:0] rx_imp_q_o;   // Q channel impulse response to Rx FIFO
+
+   // Configuration
+   wire   [4:0]  degree;       // LFSR register length
+   wire   [15:0] mask;         // LFSR parity mask
+   wire   [15:0] len;          // PN code sequence length
+   wire          loopback;     // Enable digital loopback
+
+   // Loopback implementation
+   wire   [15:0] tx_i, tx_q, rx_i, rx_q; // Internal transmit and receive data 
bus
+
+   assign       tx_dac_i_o = loopback ? 16'b0 : tx_i;
+   assign       tx_dac_q_o = loopback ? 16'b0 : tx_q;
+   assign       rx_i = loopback ? tx_i : rx_adc_i_i;
+   assign       rx_q = loopback ? tx_q : rx_adc_q_i;
+   
+   setting_reg #(`FR_USER_0) sr_lfsr_degree
+     ( 
.clock(clk_i),.reset(1'b0),.strobe(s_strobe_i),.addr(saddr_i),.in(sdata_i),.out(degree)
 );
+
+   setting_reg #(`FR_USER_1) sr_mode
+     ( 
.clock(clk_i),.reset(1'b0),.strobe(s_strobe_i),.addr(saddr_i),.in(sdata_i),
+       .out({loopback}) );
+
+   lfsr_constants constants(.degree_i(degree),.mask_o(mask),.len_o(len));
+
+   sounder_tx transmitter
+     ( 
.clk_i(clk_i),.rst_i(tx_rst_i),.ena_i(tx_enable_i),.strobe_i(tx_strobe_i),.mask_i(mask),
+       .tx_i_o(tx_i),.tx_q_o(tx_q) );
+   
+   sounder_rx receiver
+     ( .clk_i(clk_i),.rst_i(rx_rst_i),.ena_i(rx_enable_i),
+       
.rx_strobe_i(rx_strobe_i),.tx_strobe_i(tx_strobe_i),.mask_i(mask),.len_i(len),
+       
.rx_in_i_i(rx_i),.rx_in_q_i(rx_q),.rx_i_o(rx_imp_i_o),.rx_q_o(rx_imp_q_o),
+       .rx_strobe_o(rx_strobe_o) );
+   
+endmodule // sounder

Deleted: 
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/lib/sounder_rx.v

Copied: 
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/lib/sounder_rx.v 
(from rev 5117, gnuradio/trunk/gr-sounder/src/fpga/lib/sounder_rx.v)
===================================================================
--- gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/lib/sounder_rx.v 
                        (rev 0)
+++ gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/lib/sounder_rx.v 
2007-04-26 02:05:03 UTC (rev 5119)
@@ -0,0 +1,84 @@
+// -*- verilog -*-
+//
+//  USRP - Universal Software Radio Peripheral
+//
+//  Copyright (C) 2007 Corgan Enterprises LLC
+//
+//  This program is free software; you can redistribute it and/or modify
+//  it under the terms of the GNU General Public License as published by
+//  the Free Software Foundation; either version 2 of the License, or
+//  (at your option) any later version.
+//
+//  This program is distributed in the hope that it will be useful,
+//  but WITHOUT ANY WARRANTY; without even the implied warranty of
+//  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+//  GNU General Public License for more details.
+//
+//  You should have received a copy of the GNU General Public License
+//  along with this program; if not, write to the Free Software
+//  Foundation, Inc., 51 Franklin Street, Boston, MA  02110-1301  USA
+//
+
+module sounder_rx(clk_i,rst_i,ena_i,rx_strobe_i,tx_strobe_i,mask_i,len_i,
+                 rx_in_i_i,rx_in_q_i,rx_i_o,rx_q_o,rx_strobe_o);
+   
+   input         clk_i;                // Master clock
+   input         rst_i;         // Subsystem reset
+   input         ena_i;                // Subsystem enable
+   input         rx_strobe_i;   // Strobe every received sample
+   input         tx_strobe_i;  // Strobe every transmitted sample
+    
+   input  [15:0] mask_i;       // PN code LFSR mask
+   input  [15:0] len_i;                // PN code LFSR sequence length
+   input  [15:0] rx_in_i_i;    // I channel on receive
+   input  [15:0] rx_in_q_i;    // Q channel on receive
+
+   output [15:0] rx_i_o;       // I channel of impulse response
+   output [15:0] rx_q_o;       // Q channel of impulse response
+   output        rx_strobe_o;   // Impulse response value ready
+
+   // LFSR phase counter
+   reg [15:0] count;
+   wire cycle = (count == (len_i - 1));
+
+   always @(posedge clk_i)
+     if (rst_i | ~ena_i)
+       count <= 16'b0;
+     else
+       if (cycle)
+        count <= 16'b0;
+       else
+        count <= count + 16'b1;
+           
+   // Retard LFSR phase once per cycle
+   wire lfsr_strobe = (tx_strobe_i & ~cycle);
+
+   // Recreate local reference of transmitted PN code
+   wire pn;
+   lfsr reference
+     ( 
.clk_i(clk_i),.rst_i(rst_i),.ena_i(ena_i),.strobe_i(lfsr_strobe),.mask_i(mask_i),.pn_o(pn)
 );
+
+   wire [31:0] rx_i_ext, rx_q_ext;
+   sign_extend #(16,32) i_extend(rx_in_i_i, rx_i_ext);
+   sign_extend #(16,32) q_extend(rx_in_q_i, rx_q_ext);
+
+   reg [31:0] accum;
+   always @(posedge clk_i)
+     if (rst_i | ~ena_i)
+       accum <= 32'b0;
+     else
+       if (rx_strobe_i)
+        if (cycle)
+          accum <= 32'b0;
+        else
+          if (pn)
+            accum <= accum + rx_i_ext;
+          else
+            accum <= accum - rx_i_ext;
+   
+   assign rx_i_o = accum[31:16];
+   assign rx_q_o = accum[15:0];
+   assign rx_strobe_o = rx_strobe_i & cycle;
+
+endmodule // sounder_rx
+

Deleted: 
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/lib/sounder_tx.v

Copied: 
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/lib/sounder_tx.v 
(from rev 5117, gnuradio/trunk/gr-sounder/src/fpga/lib/sounder_tx.v)
===================================================================
--- gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/lib/sounder_tx.v 
                        (rev 0)
+++ gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/lib/sounder_tx.v 
2007-04-26 02:05:03 UTC (rev 5119)
@@ -0,0 +1,45 @@
+// -*- verilog -*-
+//
+//  USRP - Universal Software Radio Peripheral
+//
+//  Copyright (C) 2007 Corgan Enterprises LLC
+//
+//  This program is free software; you can redistribute it and/or modify
+//  it under the terms of the GNU General Public License as published by
+//  the Free Software Foundation; either version 2 of the License, or
+//  (at your option) any later version.
+//
+//  This program is distributed in the hope that it will be useful,
+//  but WITHOUT ANY WARRANTY; without even the implied warranty of
+//  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+//  GNU General Public License for more details.
+//
+//  You should have received a copy of the GNU General Public License
+//  along with this program; if not, write to the Free Software
+//  Foundation, Inc., 51 Franklin Street, Boston, MA  02110-1301  USA
+//
+
+`include "../../../../usrp/firmware/include/fpga_regs_common.v"
+`include "../../../../usrp/firmware/include/fpga_regs_standard.v"
+
+`define MAX_VALUE 16'h7FFF // 2s complement
+`define MIN_VALUE 16'h8000
+
+module sounder_tx(clk_i,rst_i,ena_i,strobe_i,mask_i,tx_i_o,tx_q_o);
+   input         clk_i;
+   input         rst_i;
+   input         ena_i;
+   input         strobe_i;
+   input  [15:0] mask_i;
+   output [15:0] tx_i_o;
+   output [15:0] tx_q_o;
+
+   wire          pn;
+
+   lfsr pn_code
+     ( 
.clk_i(clk_i),.rst_i(rst_i),.ena_i(ena_i),.strobe_i(strobe_i),.mask_i(mask_i),.pn_o(pn)
 );
+
+   assign tx_i_o = pn ? `MAX_VALUE : `MIN_VALUE; // Bipolar
+   assign tx_q_o = 16'b0;
+
+endmodule // sounder_tx

Copied: gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/rbf (from 
rev 5117, gnuradio/trunk/gr-sounder/src/fpga/rbf)


Property changes on: 
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/rbf
___________________________________________________________________
Name: svn:ignore
   + Makefile
Makefile.in


Deleted: 
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/rbf/Makefile.am

Copied: 
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/rbf/Makefile.am 
(from rev 5117, gnuradio/trunk/gr-sounder/src/fpga/rbf/Makefile.am)
===================================================================
--- gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/rbf/Makefile.am  
                        (rev 0)
+++ gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/rbf/Makefile.am  
2007-04-26 02:05:03 UTC (rev 5119)
@@ -0,0 +1,44 @@
+#
+# Copyright 2005,2006,2007 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 2, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+include $(top_srcdir)/Makefile.common
+
+datadir = $(prefix)/share/usrp
+
+rbfs =                                 \
+       rev2/usrp_sounder.rbf   \
+       rev4/usrp_sounder.rbf
+
+EXTRA_DIST = \
+       $(rbfs)
+
+
+install-data-local:
+       @for file in $(rbfs); do \
+               echo "$(INSTALL_DATA) $(srcdir)/$$file 
$(DESTDIR)$(datadir)/$$file"; \
+               $(INSTALL_DATA) $(srcdir)/$$file $(DESTDIR)$(datadir)/$$file; \
+       done
+
+uninstall-local:
+       @for file in $(rbfs); do \
+               echo "$(RM) $(DESTDIR)$(datadir)/$$file"; \
+               $(RM) $(DESTDIR)$(datadir)/$$file; \
+       done

Copied: gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/rbf/rev2 
(from rev 5117, gnuradio/trunk/gr-sounder/src/fpga/rbf/rev2)

Deleted: 
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/rbf/rev2/usrp_sounder.rbf

Copied: 
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/rbf/rev2/usrp_sounder.rbf
 (from rev 5117, gnuradio/trunk/gr-sounder/src/fpga/rbf/rev2/usrp_sounder.rbf)
===================================================================
(Binary files differ)

Copied: gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/rbf/rev4 
(from rev 5117, gnuradio/trunk/gr-sounder/src/fpga/rbf/rev4)

Deleted: 
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/rbf/rev4/usrp_sounder.rbf

Copied: 
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/rbf/rev4/usrp_sounder.rbf
 (from rev 5117, gnuradio/trunk/gr-sounder/src/fpga/rbf/rev4/usrp_sounder.rbf)
===================================================================
(Binary files differ)

Copied: gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/top (from 
rev 5117, gnuradio/trunk/gr-sounder/src/fpga/top)


Property changes on: 
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/top
___________________________________________________________________
Name: svn:ignore
   + Makefile
Makefile.in
db
*.rpt
*.summary
*.rbf
*.qws
*.smsg
*.done
*.pin
*.sof



Deleted: 
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/top/Makefile.am

Copied: 
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/top/Makefile.am 
(from rev 5117, gnuradio/trunk/gr-sounder/src/fpga/top/Makefile.am)
===================================================================
--- gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/top/Makefile.am  
                        (rev 0)
+++ gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/top/Makefile.am  
2007-04-26 02:05:03 UTC (rev 5119)
@@ -0,0 +1,43 @@
+#
+# Copyright 2007 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 2, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+include $(top_srcdir)/Makefile.common
+
+EXTRA_DIST =                   \
+       config.vh               \
+       usrp_sounder.v          \
+       usrp_sounder.csf        \
+       usrp_sounder.esf        \
+       usrp_sounder.psf        \
+       usrp_sounder.qpf
+
+MOSTLYCLEANFILES =     \
+       db/*            \
+       *.rpt           \
+       *.summary       \
+       *.rbf           \
+       *.qws           \
+       *.smsg          \
+       *.done          \
+       *.pin           \
+       *.sof
+
+

Deleted: 
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/top/config.vh

Copied: 
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/top/config.vh (from 
rev 5117, gnuradio/trunk/gr-sounder/src/fpga/top/config.vh)
===================================================================
--- gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/top/config.vh    
                        (rev 0)
+++ gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/top/config.vh    
2007-04-26 02:05:03 UTC (rev 5119)
@@ -0,0 +1,22 @@
+// -*- verilog -*-
+//
+//  USRP - Universal Software Radio Peripheral
+//
+//  Copyright (C) 2007 Corgan Enterprises LLC
+//
+//  This program is free software; you can redistribute it and/or modify
+//  it under the terms of the GNU General Public License as published by
+//  the Free Software Foundation; either version 2 of the License, or
+//  (at your option) any later version.
+//
+//  This program is distributed in the hope that it will be useful,
+//  but WITHOUT ANY WARRANTY; without even the implied warranty of
+//  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+//  GNU General Public License for more details.
+//
+//  You should have received a copy of the GNU General Public License
+//  along with this program; if not, write to the Free Software
+//  Foundation, Inc., 51 Franklin Street, Boston, MA  02110-1301  USA
+//
+// Uncomment to enable 64 MHz Tx clock, otherwise 32 MHz
+//`define TX_RATE_MAX

Deleted: 
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/top/usrp_sounder.csf

Copied: 
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/top/usrp_sounder.csf 
(from rev 5117, gnuradio/trunk/gr-sounder/src/fpga/top/usrp_sounder.csf)
===================================================================
--- 
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/top/usrp_sounder.csf 
                            (rev 0)
+++ 
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/top/usrp_sounder.csf 
    2007-04-26 02:05:03 UTC (rev 5119)
@@ -0,0 +1,444 @@
+COMPILER_SETTINGS
+{
+       IO_PLACEMENT_OPTIMIZATION = OFF;
+       ENABLE_DRC_SETTINGS = OFF;
+       PHYSICAL_SYNTHESIS_REGISTER_RETIMING = OFF;
+       PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION = OFF;
+       PHYSICAL_SYNTHESIS_COMBO_LOGIC = OFF;
+       DRC_FANOUT_EXCEEDING = 30;
+       DRC_REPORT_FANOUT_EXCEEDING = OFF;
+       DRC_TOP_FANOUT = 50;
+       DRC_REPORT_TOP_FANOUT = OFF;
+       RUN_DRC_DURING_COMPILATION = OFF;
+       ADV_NETLIST_OPT_RETIME_CORE_AND_IO = ON;
+       ADV_NETLIST_OPT_SYNTH_USE_FITTER_INFO = OFF;
+       ADV_NETLIST_OPT_SYNTH_GATE_RETIME = OFF;
+       ADV_NETLIST_OPT_SYNTH_WYSIWYG_REMAP = OFF;
+       SMART_COMPILE_IGNORES_TDC_FOR_STRATIX_PLL_CHANGES = OFF;
+       MERGE_HEX_FILE = OFF;
+       TRUE_WYSIWYG_FLOW = OFF;
+       SEED = 1;
+       FINAL_PLACEMENT_OPTIMIZATION = AUTOMATICALLY;
+       FAMILY = Cyclone;
+       DPRAM_DUAL_PORT_MODE_OTHER_SIGNALS_EPXA1 = "DPRAM0 TO 1 DPRAM1 TO 2";
+       DPRAM_32BIT_SINGLE_PORT_MODE_OTHER_SIGNALS_EPXA1 = "MEGALAB COLUMN 1";
+       DPRAM_8BIT_16BIT_SINGLE_PORT_MODE_OTHER_SIGNALS_EPXA1 = "MEGALAB COLUMN 
1";
+       DPRAM_DUAL_PORT_MODE_OUTPUT_EPXA1 = "DPRAM0 TO 1 DPRAM1 TO 2";
+       DPRAM_32BIT_SINGLE_PORT_MODE_OUTPUT_EPXA1 = "LOWER TO 1ESB UPPER TO 1";
+       DPRAM_8BIT_16BIT_SINGLE_PORT_MODE_OUTPUT_EPXA1 = "MEGALAB COLUMN 1";
+       DPRAM_DUAL_PORT_MODE_INPUT_EPXA1 = "DPRAM0 TO 1 DPRAM1 TO 2";
+       DPRAM_32BIT_SINGLE_PORT_MODE_INPUT_EPXA1 = "MEGALAB COLUMN 1";
+       DPRAM_8BIT_16BIT_SINGLE_PORT_MODE_INPUT_EPXA1 = "MEGALAB COLUMN 1";
+       DPRAM_DUAL_PORT_MODE_OTHER_SIGNALS_EPXA4_10 = "DPRAM0 TO 3 DPRAM1 TO 4";
+       DPRAM_SINGLE_PORT_MODE_OTHER_SIGNALS_EPXA4_10 = "DPRAM0 TO 3 DPRAM1 TO 
4";
+       DPRAM_WIDE_MODE_OTHER_SIGNALS_EPXA4_10 = "MEGALAB COLUMN 3";
+       DPRAM_DEEP_MODE_OTHER_SIGNALS_EPXA4_10 = "MEGALAB COLUMN 3";
+       DPRAM_DUAL_PORT_MODE_OUTPUT_EPXA4_10 = "DPRAM0 TO 3 DPRAM1 TO 4ESB";
+       DPRAM_SINGLE_PORT_MODE_OUTPUT_EPXA4_10 = "DPRAM0 TO 3 DPRAM1 TO 4ESB";
+       DPRAM_WIDE_MODE_OUTPUT_EPXA4_10 = "LOWER TO 3 UPPER TO 4ESB";
+       DPRAM_DEEP_MODE_OUTPUT_EPXA4_10 = "MEGALAB COLUMN 3";
+       DPRAM_DUAL_PORT_MODE_INPUT_EPXA4_10 = "DPRAM0 TO 3 DPRAM1 TO 4";
+       DPRAM_SINGLE_PORT_MODE_INPUT_EPXA4_10 = "DPRAM0 TO 3 DPRAM1 TO 4";
+       DPRAM_WIDE_MODE_INPUT_EPXA4_10 = "LOWER TO 3 UPPER TO 4";
+       DPRAM_DEEP_MODE_INPUT_EPXA4_10 = "MEGALAB COLUMN 3";
+       DPRAM_OTHER_SIGNALS_EPXA4_10 = "DEFAULT OTHER ROUTING OPTIONS";
+       DPRAM_OUTPUT_EPXA4_10 = "DEFAULT OUTPUT ROUTING OPTIONS";
+       DPRAM_INPUT_EPXA4_10 = "DEFAULT INPUT ROUTING OPTIONS";
+       STRIPE_TO_PLD_INTERRUPTS_EPXA4_10 = "MEGALAB COLUMN 2";
+       PLD_TO_STRIPE_INTERRUPTS_EPXA4_10 = "MEGALAB COLUMN 2";
+       PROCESSOR_DEBUG_EXTENSIONS_EPXA4_10 = "MEGALAB COLUMN 2";
+       STRIPE_TO_PLD_BRIDGE_EPXA4_10 = "MEGALAB COLUMN 1";
+       FAST_FIT_COMPILATION = OFF;
+       SIGNALPROBE_DURING_NORMAL_COMPILATION = OFF;
+       OPTIMIZE_IOC_REGISTER_PLACEMENT_FOR_TIMING = ON;
+       OPTIMIZE_TIMING = "NORMAL COMPILATION";
+       OPTIMIZE_HOLD_TIMING = OFF;
+       COMPILATION_LEVEL = FULL;
+       SAVE_DISK_SPACE = OFF;
+       SPEED_DISK_USAGE_TRADEOFF = NORMAL;
+       LOGICLOCK_INCREMENTAL_COMPILE_ASSIGNMENT = OFF;
+       SIGNALPROBE_ALLOW_OVERUSE = OFF;
+       FOCUS_ENTITY_NAME = |usrp_sounder;
+       ROUTING_BACK_ANNOTATION_MODE = OFF;
+       INC_PLC_MODE = OFF;
+       FIT_ONLY_ONE_ATTEMPT = OFF;
+}
+DEFAULT_DEVICE_OPTIONS
+{
+       GENERATE_CONFIG_HEXOUT_FILE = OFF;
+       GENERATE_CONFIG_JBC_FILE_COMPRESSED = ON;
+       GENERATE_CONFIG_JBC_FILE = OFF;
+       GENERATE_CONFIG_JAM_FILE = OFF;
+       GENERATE_CONFIG_ISC_FILE = OFF;
+       GENERATE_CONFIG_SVF_FILE = OFF;
+       GENERATE_JBC_FILE_COMPRESSED = ON;
+       GENERATE_JBC_FILE = OFF;
+       GENERATE_JAM_FILE = OFF;
+       GENERATE_ISC_FILE = OFF;
+       GENERATE_SVF_FILE = OFF;
+       RESERVE_PIN = "AS INPUT TRI-STATED";
+       RESERVE_ALL_UNUSED_PINS = "AS OUTPUT DRIVING GROUND";
+       HEXOUT_FILE_COUNT_DIRECTION = UP;
+       HEXOUT_FILE_START_ADDRESS = 0;
+       GENERATE_HEX_FILE = OFF;
+       GENERATE_RBF_FILE = OFF;
+       GENERATE_TTF_FILE = OFF;
+       RESERVE_ASDO_AFTER_CONFIGURATION = "USE AS REGULAR IO";
+       RESERVE_DATA0_AFTER_CONFIGURATION = "AS INPUT TRI-STATED";
+       RESERVE_DATA7_THROUGH_DATA1_AFTER_CONFIGURATION = "USE AS REGULAR IO";
+       RESERVE_RDYNBUSY_AFTER_CONFIGURATION = "USE AS REGULAR IO";
+       RESERVE_NWS_NRS_NCS_CS_AFTER_CONFIGURATION = "USE AS REGULAR IO";
+       DISABLE_NCS_AND_OE_PULLUPS_ON_CONFIG_DEVICE = OFF;
+       AUTO_INCREMENT_CONFIG_DEVICE_JTAG_USER_CODE = ON;
+       EPROM_USE_CHECKSUM_AS_USERCODE = OFF;
+       FLEX10K_CONFIG_DEVICE_JTAG_USER_CODE = FFFFFFFF;
+       MERCURY_CONFIG_DEVICE_JTAG_USER_CODE = FFFFFFFF;
+       STRATIX_CONFIG_DEVICE_JTAG_USER_CODE = FFFFFFFF;
+       APEX20K_CONFIG_DEVICE_JTAG_USER_CODE = FFFFFFFF;
+       STRATIX_CONFIGURATION_DEVICE = AUTO;
+       CYCLONE_CONFIGURATION_DEVICE = AUTO;
+       FLEX10K_CONFIGURATION_DEVICE = AUTO;
+       FLEX6K_CONFIGURATION_DEVICE = AUTO;
+       MERCURY_CONFIGURATION_DEVICE = AUTO;
+       EXCALIBUR_CONFIGURATION_DEVICE = AUTO;
+       APEX20K_CONFIGURATION_DEVICE = AUTO;
+       USE_CONFIGURATION_DEVICE = ON;
+       ENABLE_INIT_DONE_OUTPUT = OFF;
+       FLEX10K_ENABLE_LOCK_OUTPUT = OFF;
+       ENABLE_DEVICE_WIDE_OE = OFF;
+       ENABLE_DEVICE_WIDE_RESET = OFF;
+       RELEASE_CLEARS_BEFORE_TRI_STATES = OFF;
+       AUTO_RESTART_CONFIGURATION = OFF;
+       ENABLE_VREFB_PIN = OFF;
+       ENABLE_VREFA_PIN = OFF;
+       SECURITY_BIT = OFF;
+       USER_START_UP_CLOCK = OFF;
+       APEXII_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       FLEX10K_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       FLEX6K_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       MERCURY_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       EXCALIBUR_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       CYCLONE_CONFIGURATION_SCHEME = "ACTIVE SERIAL";
+       STRATIX_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       APEX20K_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       STRATIX_UPDATE_MODE = STANDARD;
+       USE_CHECKSUM_AS_USERCODE = OFF;
+       MAX7000_USE_CHECKSUM_AS_USERCODE = OFF;
+       MAX7000_JTAG_USER_CODE = FFFFFFFF;
+       FLEX10K_JTAG_USER_CODE = 7F;
+       MERCURY_JTAG_USER_CODE = FFFFFFFF;
+       APEX20K_JTAG_USER_CODE = FFFFFFFF;
+       STRATIX_JTAG_USER_CODE = FFFFFFFF;
+       MAX7000S_JTAG_USER_CODE = FFFF;
+       RESERVE_NCEO_AFTER_CONFIGURATION = "USE AS REGULAR IO";
+       FLEX10K_ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE = ON;
+       FLEX6K_ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE = OFF;
+       ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE = ON;
+       MAX7000_ENABLE_JTAG_BST_SUPPORT = ON;
+       ENABLE_JTAG_BST_SUPPORT = OFF;
+       CONFIGURATION_CLOCK_DIVISOR = 1;
+       CONFIGURATION_CLOCK_FREQUENCY = "10 MHZ";
+       CLOCK_SOURCE = INTERNAL;
+       COMPRESSION_MODE = OFF;
+       ON_CHIP_BITSTREAM_DECOMPRESSION = OFF;
+}
+AUTO_SLD_HUB_ENTITY
+{
+       AUTO_INSERT_SLD_HUB_ENTITY = ENABLE;
+       HUB_INSTANCE_NAME = SLD_HUB_INST;
+       HUB_ENTITY_NAME = SLD_HUB;
+}
+SIGNALTAP_LOGIC_ANALYZER_SETTINGS
+{
+       ENABLE_SIGNALTAP = Off;
+       AUTO_ENABLE_SMART_COMPILE = On;
+}
+CHIP(usrp_sounder)
+{
+       DEVICE = EP1C12Q240C8;
+       DEVICE_FILTER_PACKAGE = "ANY QFP";
+       DEVICE_FILTER_PIN_COUNT = 240;
+       DEVICE_FILTER_SPEED_GRADE = ANY;
+       AUTO_RESTART_CONFIGURATION = OFF;
+       RELEASE_CLEARS_BEFORE_TRI_STATES = OFF;
+       USER_START_UP_CLOCK = OFF;
+       ENABLE_DEVICE_WIDE_RESET = OFF;
+       ENABLE_DEVICE_WIDE_OE = OFF;
+       ENABLE_INIT_DONE_OUTPUT = OFF;
+       FLEX10K_ENABLE_LOCK_OUTPUT = OFF;
+       ENABLE_JTAG_BST_SUPPORT = OFF;
+       MAX7000_ENABLE_JTAG_BST_SUPPORT = ON;
+       APEX20K_JTAG_USER_CODE = FFFFFFFF;
+       MERCURY_JTAG_USER_CODE = FFFFFFFF;
+       FLEX10K_JTAG_USER_CODE = 7F;
+       MAX7000_JTAG_USER_CODE = FFFFFFFF;
+       MAX7000S_JTAG_USER_CODE = FFFF;
+       STRATIX_JTAG_USER_CODE = FFFFFFFF;
+       APEX20K_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       MERCURY_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       FLEX6K_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       FLEX10K_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       EXCALIBUR_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       APEXII_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       STRATIX_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       CYCLONE_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       USE_CONFIGURATION_DEVICE = OFF;
+       APEX20K_CONFIGURATION_DEVICE = AUTO;
+       MERCURY_CONFIGURATION_DEVICE = AUTO;
+       FLEX6K_CONFIGURATION_DEVICE = AUTO;
+       FLEX10K_CONFIGURATION_DEVICE = AUTO;
+       EXCALIBUR_CONFIGURATION_DEVICE = AUTO;
+       STRATIX_CONFIGURATION_DEVICE = AUTO;
+       CYCLONE_CONFIGURATION_DEVICE = AUTO;
+       STRATIX_UPDATE_MODE = STANDARD;
+       APEX20K_CONFIG_DEVICE_JTAG_USER_CODE = FFFFFFFF;
+       MERCURY_CONFIG_DEVICE_JTAG_USER_CODE = FFFFFFFF;
+       FLEX10K_CONFIG_DEVICE_JTAG_USER_CODE = FFFFFFFF;
+       STRATIX_CONFIG_DEVICE_JTAG_USER_CODE = FFFFFFFF;
+       AUTO_INCREMENT_CONFIG_DEVICE_JTAG_USER_CODE = ON;
+       DISABLE_NCS_AND_OE_PULLUPS_ON_CONFIG_DEVICE = OFF;
+       COMPRESSION_MODE = OFF;
+       ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE = ON;
+       FLEX6K_ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE = OFF;
+       FLEX10K_ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE = ON;
+       EPROM_USE_CHECKSUM_AS_USERCODE = OFF;
+       USE_CHECKSUM_AS_USERCODE = OFF;
+       MAX7000_USE_CHECKSUM_AS_USERCODE = OFF;
+       GENERATE_TTF_FILE = OFF;
+       GENERATE_RBF_FILE = ON;
+       GENERATE_HEX_FILE = OFF;
+       SECURITY_BIT = OFF;
+       ENABLE_VREFA_PIN = OFF;
+       ENABLE_VREFB_PIN = OFF;
+       GENERATE_SVF_FILE = OFF;
+       GENERATE_ISC_FILE = OFF;
+       GENERATE_JAM_FILE = OFF;
+       GENERATE_JBC_FILE = OFF;
+       GENERATE_JBC_FILE_COMPRESSED = ON;
+       GENERATE_CONFIG_SVF_FILE = OFF;
+       GENERATE_CONFIG_ISC_FILE = OFF;
+       GENERATE_CONFIG_JAM_FILE = OFF;
+       GENERATE_CONFIG_JBC_FILE = OFF;
+       GENERATE_CONFIG_JBC_FILE_COMPRESSED = ON;
+       GENERATE_CONFIG_HEXOUT_FILE = OFF;
+       ON_CHIP_BITSTREAM_DECOMPRESSION = OFF;
+       BASE_PIN_OUT_FILE_ON_SAMEFRAME_DEVICE = OFF;
+       HEXOUT_FILE_START_ADDRESS = 0;
+       HEXOUT_FILE_COUNT_DIRECTION = UP;
+       RESERVE_ALL_UNUSED_PINS = "AS INPUT TRI-STATED";
+       STRATIX_DEVICE_IO_STANDARD = LVTTL;
+       CLOCK_SOURCE = INTERNAL;
+       CONFIGURATION_CLOCK_FREQUENCY = "10 MHZ";
+       CONFIGURATION_CLOCK_DIVISOR = 1;
+       RESERVE_NWS_NRS_NCS_CS_AFTER_CONFIGURATION = "USE AS REGULAR IO";
+       RESERVE_RDYNBUSY_AFTER_CONFIGURATION = "USE AS REGULAR IO";
+       RESERVE_DATA7_THROUGH_DATA1_AFTER_CONFIGURATION = "USE AS REGULAR IO";
+       RESERVE_DATA0_AFTER_CONFIGURATION = "AS INPUT TRI-STATED";
+       RESERVE_NCEO_AFTER_CONFIGURATION = "USE AS REGULAR IO";
+       RESERVE_ASDO_AFTER_CONFIGURATION = "USE AS REGULAR IO";
+       SCLK : LOCATION = Pin_101;
+       SDI : LOCATION = Pin_100;
+       SEN : LOCATION = Pin_98;
+       SLD : LOCATION = Pin_95;
+       adc1_data[0] : LOCATION = Pin_5;
+       adc1_data[10] : LOCATION = Pin_235;
+       adc1_data[11] : LOCATION = Pin_234;
+       adc1_data[1] : LOCATION = Pin_4;
+       adc1_data[2] : LOCATION = Pin_3;
+       adc1_data[3] : LOCATION = Pin_2;
+       adc1_data[4] : LOCATION = Pin_1;
+       adc1_data[4] : IO_STANDARD = LVTTL;
+       adc1_data[5] : LOCATION = Pin_240;
+       adc1_data[6] : LOCATION = Pin_239;
+       adc1_data[7] : LOCATION = Pin_238;
+       adc1_data[8] : LOCATION = Pin_237;
+       adc1_data[9] : LOCATION = Pin_236;
+       adc2_data[0] : LOCATION = Pin_20;
+       adc2_data[10] : LOCATION = Pin_8;
+       adc2_data[11] : LOCATION = Pin_7;
+       adc2_data[1] : LOCATION = Pin_19;
+       adc2_data[2] : LOCATION = Pin_18;
+       adc2_data[3] : LOCATION = Pin_17;
+       adc2_data[4] : LOCATION = Pin_16;
+       adc2_data[5] : LOCATION = Pin_15;
+       adc2_data[6] : LOCATION = Pin_14;
+       adc2_data[7] : LOCATION = Pin_13;
+       adc2_data[8] : LOCATION = Pin_12;
+       adc2_data[9] : LOCATION = Pin_11;
+       adc3_data[0] : LOCATION = Pin_200;
+       adc3_data[10] : LOCATION = Pin_184;
+       adc3_data[11] : LOCATION = Pin_183;
+       adc3_data[1] : LOCATION = Pin_197;
+       adc3_data[2] : LOCATION = Pin_196;
+       adc3_data[3] : LOCATION = Pin_195;
+       adc3_data[4] : LOCATION = Pin_194;
+       adc3_data[5] : LOCATION = Pin_193;
+       adc3_data[6] : LOCATION = Pin_188;
+       adc3_data[7] : LOCATION = Pin_187;
+       adc3_data[8] : LOCATION = Pin_186;
+       adc3_data[9] : LOCATION = Pin_185;
+       adc4_data[0] : LOCATION = Pin_222;
+       adc4_data[10] : LOCATION = Pin_203;
+       adc4_data[11] : LOCATION = Pin_202;
+       adc4_data[1] : LOCATION = Pin_219;
+       adc4_data[2] : LOCATION = Pin_217;
+       adc4_data[3] : LOCATION = Pin_216;
+       adc4_data[4] : LOCATION = Pin_215;
+       adc4_data[5] : LOCATION = Pin_214;
+       adc4_data[6] : LOCATION = Pin_213;
+       adc4_data[7] : LOCATION = Pin_208;
+       adc4_data[8] : LOCATION = Pin_207;
+       adc4_data[9] : LOCATION = Pin_206;
+       adc_oeb[0] : LOCATION = Pin_228;
+       adc_oeb[1] : LOCATION = Pin_21;
+       adc_oeb[2] : LOCATION = Pin_181;
+       adc_oeb[3] : LOCATION = Pin_218;
+       adc_otr[0] : LOCATION = Pin_233;
+       adc_otr[1] : LOCATION = Pin_6;
+       adc_otr[2] : LOCATION = Pin_182;
+       adc_otr[3] : LOCATION = Pin_201;
+       adclk0 : LOCATION = Pin_224;
+       adclk1 : LOCATION = Pin_226;
+       clk0 : LOCATION = Pin_28;
+       clk0 : RESERVE_PIN = "AS INPUT TRI-STATED";
+       clk0 : IO_STANDARD = LVTTL;
+       clk1 : LOCATION = Pin_29;
+       clk1 : RESERVE_PIN = "AS INPUT TRI-STATED";
+       clk1 : IO_STANDARD = LVTTL;
+       clk3 : LOCATION = Pin_152;
+       clk3 : RESERVE_PIN = "AS INPUT TRI-STATED";
+       clk3 : IO_STANDARD = LVTTL;
+       clk_120mhz : LOCATION = Pin_153;
+       clk_120mhz : IO_STANDARD = LVTTL;
+       clk_out : LOCATION = Pin_63;
+       clk_out : IO_STANDARD = LVTTL;
+       dac1_data[0] : LOCATION = Pin_165;
+       dac1_data[10] : LOCATION = Pin_177;
+       dac1_data[11] : LOCATION = Pin_178;
+       dac1_data[12] : LOCATION = Pin_179;
+       dac1_data[13] : LOCATION = Pin_180;
+       dac1_data[1] : LOCATION = Pin_166;
+       dac1_data[2] : LOCATION = Pin_167;
+       dac1_data[3] : LOCATION = Pin_168;
+       dac1_data[4] : LOCATION = Pin_169;
+       dac1_data[5] : LOCATION = Pin_170;
+       dac1_data[6] : LOCATION = Pin_173;
+       dac1_data[7] : LOCATION = Pin_174;
+       dac1_data[8] : LOCATION = Pin_175;
+       dac1_data[9] : LOCATION = Pin_176;
+       dac2_data[0] : LOCATION = Pin_159;
+       dac2_data[10] : LOCATION = Pin_163;
+       dac2_data[11] : LOCATION = Pin_139;
+       dac2_data[12] : LOCATION = Pin_164;
+       dac2_data[13] : LOCATION = Pin_138;
+       dac2_data[1] : LOCATION = Pin_158;
+       dac2_data[2] : LOCATION = Pin_160;
+       dac2_data[3] : LOCATION = Pin_156;
+       dac2_data[4] : LOCATION = Pin_161;
+       dac2_data[5] : LOCATION = Pin_144;
+       dac2_data[6] : LOCATION = Pin_162;
+       dac2_data[7] : LOCATION = Pin_141;
+       dac2_data[8] : LOCATION = Pin_143;
+       dac2_data[9] : LOCATION = Pin_140;
+       dac3_data[0] : LOCATION = Pin_122;
+       dac3_data[10] : LOCATION = Pin_134;
+       dac3_data[11] : LOCATION = Pin_135;
+       dac3_data[12] : LOCATION = Pin_136;
+       dac3_data[13] : LOCATION = Pin_137;
+       dac3_data[1] : LOCATION = Pin_123;
+       dac3_data[2] : LOCATION = Pin_124;
+       dac3_data[3] : LOCATION = Pin_125;
+       dac3_data[4] : LOCATION = Pin_126;
+       dac3_data[5] : LOCATION = Pin_127;
+       dac3_data[6] : LOCATION = Pin_128;
+       dac3_data[7] : LOCATION = Pin_131;
+       dac3_data[8] : LOCATION = Pin_132;
+       dac3_data[9] : LOCATION = Pin_133;
+       dac4_data[0] : LOCATION = Pin_104;
+       dac4_data[10] : LOCATION = Pin_118;
+       dac4_data[11] : LOCATION = Pin_119;
+       dac4_data[12] : LOCATION = Pin_120;
+       dac4_data[13] : LOCATION = Pin_121;
+       dac4_data[1] : LOCATION = Pin_105;
+       dac4_data[2] : LOCATION = Pin_106;
+       dac4_data[3] : LOCATION = Pin_107;
+       dac4_data[4] : LOCATION = Pin_108;
+       dac4_data[5] : LOCATION = Pin_113;
+       dac4_data[6] : LOCATION = Pin_114;
+       dac4_data[7] : LOCATION = Pin_115;
+       dac4_data[8] : LOCATION = Pin_116;
+       dac4_data[9] : LOCATION = Pin_117;
+       enable_rx : LOCATION = Pin_88;
+       enable_tx : LOCATION = Pin_93;
+       gndbus[0] : LOCATION = Pin_223;
+       gndbus[0] : RESERVE_PIN = "AS INPUT TRI-STATED";
+       gndbus[0] : IO_STANDARD = LVTTL;
+       gndbus[1] : LOCATION = Pin_225;
+       gndbus[1] : RESERVE_PIN = "AS INPUT TRI-STATED";
+       gndbus[1] : IO_STANDARD = LVTTL;
+       gndbus[2] : LOCATION = Pin_227;
+       gndbus[2] : RESERVE_PIN = "AS INPUT TRI-STATED";
+       gndbus[2] : IO_STANDARD = LVTTL;
+       gndbus[3] : LOCATION = Pin_62;
+       gndbus[3] : RESERVE_PIN = "AS INPUT TRI-STATED";
+       gndbus[3] : IO_STANDARD = LVTTL;
+       gndbus[4] : LOCATION = Pin_64;
+       gndbus[4] : RESERVE_PIN = "AS INPUT TRI-STATED";
+       gndbus[4] : IO_STANDARD = LVTTL;
+       misc_pins[0] : LOCATION = Pin_87;
+       misc_pins[0] : IO_STANDARD = LVTTL;
+       misc_pins[10] : LOCATION = Pin_76;
+       misc_pins[10] : IO_STANDARD = LVTTL;
+       misc_pins[11] : LOCATION = Pin_74;
+       misc_pins[11] : IO_STANDARD = LVTTL;
+       misc_pins[1] : LOCATION = Pin_86;
+       misc_pins[1] : IO_STANDARD = LVTTL;
+       misc_pins[2] : LOCATION = Pin_85;
+       misc_pins[2] : IO_STANDARD = LVTTL;
+       misc_pins[3] : LOCATION = Pin_84;
+       misc_pins[3] : IO_STANDARD = LVTTL;
+       misc_pins[4] : LOCATION = Pin_83;
+       misc_pins[4] : IO_STANDARD = LVTTL;
+       misc_pins[5] : LOCATION = Pin_82;
+       misc_pins[5] : IO_STANDARD = LVTTL;
+       misc_pins[6] : LOCATION = Pin_79;
+       misc_pins[6] : IO_STANDARD = LVTTL;
+       misc_pins[7] : LOCATION = Pin_78;
+       misc_pins[7] : IO_STANDARD = LVTTL;
+       misc_pins[8] : LOCATION = Pin_77;
+       misc_pins[8] : IO_STANDARD = LVTTL;
+       misc_pins[9] : LOCATION = Pin_75;
+       misc_pins[9] : IO_STANDARD = LVTTL;
+       reset : LOCATION = Pin_94;
+       usbclk : LOCATION = Pin_55;
+       usbctl[0] : LOCATION = Pin_56;
+       usbctl[1] : LOCATION = Pin_54;
+       usbctl[2] : LOCATION = Pin_53;
+       usbctl[3] : LOCATION = Pin_58;
+       usbctl[4] : LOCATION = Pin_57;
+       usbctl[5] : LOCATION = Pin_44;
+       usbdata[0] : LOCATION = Pin_73;
+       usbdata[10] : LOCATION = Pin_41;
+       usbdata[11] : LOCATION = Pin_39;
+       usbdata[12] : LOCATION = Pin_38;
+       usbdata[12] : IO_STANDARD = LVTTL;
+       usbdata[13] : LOCATION = Pin_37;
+       usbdata[14] : LOCATION = Pin_24;
+       usbdata[15] : LOCATION = Pin_23;
+       usbdata[1] : LOCATION = Pin_68;
+       usbdata[2] : LOCATION = Pin_67;
+       usbdata[3] : LOCATION = Pin_66;
+       usbdata[4] : LOCATION = Pin_65;
+       usbdata[5] : LOCATION = Pin_61;
+       usbdata[6] : LOCATION = Pin_60;
+       usbdata[7] : LOCATION = Pin_59;
+       usbdata[8] : LOCATION = Pin_43;
+       usbdata[9] : LOCATION = Pin_42;
+       usbrdy[0] : LOCATION = Pin_45;
+       usbrdy[1] : LOCATION = Pin_46;
+       usbrdy[2] : LOCATION = Pin_47;
+       usbrdy[3] : LOCATION = Pin_48;
+       usbrdy[4] : LOCATION = Pin_49;
+       usbrdy[5] : LOCATION = Pin_50;
+       clear_status : LOCATION = Pin_99;
+}

Deleted: 
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/top/usrp_sounder.esf

Copied: 
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/top/usrp_sounder.esf 
(from rev 5117, gnuradio/trunk/gr-sounder/src/fpga/top/usrp_sounder.esf)
===================================================================
--- 
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/top/usrp_sounder.esf 
                            (rev 0)
+++ 
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/top/usrp_sounder.esf 
    2007-04-26 02:05:03 UTC (rev 5119)
@@ -0,0 +1,14 @@
+SIMULATOR_SETTINGS
+{
+       ESTIMATE_POWER_CONSUMPTION = OFF;
+       GLITCH_INTERVAL = 1NS;
+       GLITCH_DETECTION = OFF;
+       SIMULATION_COVERAGE = ON;
+       CHECK_OUTPUTS = OFF;
+       SETUP_HOLD_DETECTION = OFF;
+       POWER_ESTIMATION_START_TIME = "0 NS";
+       ADD_DEFAULT_PINS_TO_SIMULATION_OUTPUT_WAVEFORMS = ON;
+       SIMULATION_MODE = TIMING;
+       START_TIME = 0NS;
+       USE_COMPILER_SETTINGS = usrp_sounder;
+}

Deleted: 
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/top/usrp_sounder.psf

Copied: 
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/top/usrp_sounder.psf 
(from rev 5117, gnuradio/trunk/gr-sounder/src/fpga/top/usrp_sounder.psf)
===================================================================
--- 
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/top/usrp_sounder.psf 
                            (rev 0)
+++ 
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/top/usrp_sounder.psf 
    2007-04-26 02:05:03 UTC (rev 5119)
@@ -0,0 +1,312 @@
+DEFAULT_DESIGN_ASSISTANT_SETTINGS
+{
+       HCPY_ALOAD_SIGNALS = OFF;
+       HCPY_VREF_PINS = OFF;
+       HCPY_CAT = OFF;
+       HCPY_ILLEGAL_HC_DEV_PKG = OFF;
+       ACLK_RULE_IMSZER_ADOMAIN = OFF;
+       ACLK_RULE_SZER_BTW_ACLK_DOMAIN = OFF;
+       ACLK_RULE_NO_SZER_ACLK_DOMAIN = OFF;
+       ACLK_CAT = OFF;
+       SIGNALRACE_RULE_ASYNCHPIN_SYNCH_CLKPIN = OFF;
+       SIGNALRACE_CAT = OFF;
+       NONSYNCHSTRUCT_RULE_LATCH_UNIDENTIFIED = OFF;
+       NONSYNCHSTRUCT_RULE_SRLATCH = OFF;
+       NONSYNCHSTRUCT_RULE_DLATCH = OFF;
+       NONSYNCHSTRUCT_RULE_MULTI_VIBRATOR = OFF;
+       NONSYNCHSTRUCT_RULE_ILLEGAL_PULSE_GEN = OFF;
+       NONSYNCHSTRUCT_RULE_RIPPLE_CLK = OFF;
+       NONSYNCHSTRUCT_RULE_DELAY_CHAIN = OFF;
+       NONSYNCHSTRUCT_RULE_REG_LOOP = OFF;
+       NONSYNCHSTRUCT_RULE_COMBLOOP = OFF;
+       NONSYNCHSTRUCT_CAT = OFF;
+       NONSYNCHSTRUCT_RULE_COMB_DRIVES_RAM_WE = OFF;
+       TIMING_RULE_COIN_CLKEDGE = OFF;
+       TIMING_RULE_SHIFT_REG = OFF;
+       TIMING_RULE_HIGH_FANOUTS = OFF;
+       TIMING_CAT = OFF;
+       RESET_RULE_ALL = OFF;
+       RESET_RULE_IMSYNCH_ASYNCH_DOMAIN = OFF;
+       RESET_RULE_UNSYNCH_ASYNCH_DOMAIN = OFF;
+       RESET_RULE_REG_ASNYCH = OFF;
+       RESET_RULE_COMB_ASYNCH_RESET = OFF;
+       RESET_RULE_IMSYNCH_EXRESET = OFF;
+       RESET_RULE_UNSYNCH_EXRESET = OFF;
+       RESET_RULE_INPINS_RESETNET = OFF;
+       RESET_CAT = OFF;
+       CLK_RULE_ALL = OFF;
+       CLK_RULE_MIX_EDGES = OFF;
+       CLK_RULE_CLKNET_CLKSPINES = OFF;
+       CLK_RULE_INPINS_CLKNET = OFF;
+       CLK_RULE_GATING_SCHEME = OFF;
+       CLK_RULE_INV_CLOCK = OFF;
+       CLK_RULE_COMB_CLOCK = OFF;
+       CLK_CAT = OFF;
+       HCPY_EXCEED_USER_IO_USAGE = OFF;
+       HCPY_EXCEED_RAM_USAGE = OFF;
+       NONSYNCHSTRUCT_RULE_ASYN_RAM = OFF;
+       SIGNALRACE_RULE_TRISTATE = OFF;
+       ASSG_RULE_MISSING_TIMING = OFF;
+       ASSG_RULE_MISSING_FMAX = OFF;
+       ASSG_CAT = OFF;
+}
+SYNTHESIS_FITTING_SETTINGS
+{
+       AUTO_SHIFT_REGISTER_RECOGNITION = ON;
+       AUTO_DSP_RECOGNITION = ON;
+       AUTO_RAM_RECOGNITION = ON;
+       REMOVE_DUPLICATE_LOGIC = ON;
+       AUTO_TURBO_BIT = ON;
+       AUTO_MERGE_PLLS = ON;
+       AUTO_OPEN_DRAIN_PINS = ON;
+       AUTO_PARALLEL_EXPANDERS = ON;
+       AUTO_FAST_OUTPUT_ENABLE_REGISTERS = OFF;
+       AUTO_FAST_OUTPUT_REGISTERS = OFF;
+       AUTO_FAST_INPUT_REGISTERS = OFF;
+       AUTO_CASCADE_CHAINS = ON;
+       AUTO_CARRY_CHAINS = ON;
+       AUTO_DELAY_CHAINS = ON;
+       MAX7000_PARALLEL_EXPANDER_CHAIN_LENGTH = 4;
+       PARALLEL_EXPANDER_CHAIN_LENGTH = 16;
+       CASCADE_CHAIN_LENGTH = 2;
+       STRATIX_CARRY_CHAIN_LENGTH = 70;
+       MERCURY_CARRY_CHAIN_LENGTH = 48;
+       FLEX10K_CARRY_CHAIN_LENGTH = 32;
+       FLEX6K_CARRY_CHAIN_LENGTH = 32;
+       CARRY_CHAIN_LENGTH = 48;
+       CARRY_OUT_PINS_LCELL_INSERT = ON;
+       NORMAL_LCELL_INSERT = ON;
+       AUTO_LCELL_INSERTION = ON;
+       ALLOW_XOR_GATE_USAGE = ON;
+       AUTO_PACKED_REGISTERS_STRATIX = NORMAL;
+       AUTO_PACKED_REGISTERS = OFF;
+       AUTO_PACKED_REG_CYCLONE = NORMAL;
+       FLEX10K_OPTIMIZATION_TECHNIQUE = AREA;
+       FLEX6K_OPTIMIZATION_TECHNIQUE = AREA;
+       MERCURY_OPTIMIZATION_TECHNIQUE = AREA;
+       APEX20K_OPTIMIZATION_TECHNIQUE = SPEED;
+       MAX7000_OPTIMIZATION_TECHNIQUE = SPEED;
+       STRATIX_OPTIMIZATION_TECHNIQUE = SPEED;
+       CYCLONE_OPTIMIZATION_TECHNIQUE = AREA;
+       FLEX10K_TECHNOLOGY_MAPPER = LUT;
+       FLEX6K_TECHNOLOGY_MAPPER = LUT;
+       MERCURY_TECHNOLOGY_MAPPER = LUT;
+       APEX20K_TECHNOLOGY_MAPPER = LUT;
+       MAX7000_TECHNOLOGY_MAPPER = "PRODUCT TERM";
+       STRATIX_TECHNOLOGY_MAPPER = LUT;
+       AUTO_IMPLEMENT_IN_ROM = OFF;
+       AUTO_GLOBAL_MEMORY_CONTROLS = OFF;
+       AUTO_GLOBAL_REGISTER_CONTROLS = ON;
+       AUTO_GLOBAL_OE = ON;
+       AUTO_GLOBAL_CLOCK = ON;
+       USE_LPM_FOR_AHDL_OPERATORS = ON;
+       LIMIT_AHDL_INTEGERS_TO_32_BITS = OFF;
+       ENABLE_BUS_HOLD_CIRCUITRY = OFF;
+       WEAK_PULL_UP_RESISTOR = OFF;
+       TURBO_BIT = ON;
+       MAX7000_IGNORE_SOFT_BUFFERS = OFF;
+       IGNORE_SOFT_BUFFERS = ON;
+       MAX7000_IGNORE_LCELL_BUFFERS = AUTO;
+       IGNORE_LCELL_BUFFERS = OFF;
+       IGNORE_ROW_GLOBAL_BUFFERS = OFF;
+       IGNORE_GLOBAL_BUFFERS = OFF;
+       IGNORE_CASCADE_BUFFERS = OFF;
+       IGNORE_CARRY_BUFFERS = OFF;
+       REMOVE_DUPLICATE_REGISTERS = ON;
+       REMOVE_REDUNDANT_LOGIC_CELLS = OFF;
+       ALLOW_POWER_UP_DONT_CARE = ON;
+       PCI_IO = OFF;
+       NOT_GATE_PUSH_BACK = ON;
+       SLOW_SLEW_RATE = OFF;
+       DSP_BLOCK_BALANCING = AUTO;
+       STATE_MACHINE_PROCESSING = AUTO;
+}
+DEFAULT_HARDCOPY_SETTINGS
+{
+       HARDCOPY_EXTERNAL_CLOCK_JITTER = "0.0 NS";
+}
+DEFAULT_TIMING_REQUIREMENTS
+{
+       INCLUDE_EXTERNAL_PIN_DELAYS_IN_FMAX_CALCULATIONS = OFF;
+       RUN_ALL_TIMING_ANALYSES = ON;
+       IGNORE_CLOCK_SETTINGS = OFF;
+       DEFAULT_HOLD_MULTICYCLE = "SAME AS MULTICYCLE";
+       CUT_OFF_IO_PIN_FEEDBACK = ON;
+       CUT_OFF_CLEAR_AND_PRESET_PATHS = ON;
+       CUT_OFF_READ_DURING_WRITE_PATHS = ON;
+       CUT_OFF_PATHS_BETWEEN_CLOCK_DOMAINS = ON;
+       DO_MIN_ANALYSIS = ON;
+       DO_MIN_TIMING = OFF;
+       NUMBER_OF_PATHS_TO_REPORT = 200;
+       NUMBER_OF_DESTINATION_TO_REPORT = 10;
+       NUMBER_OF_SOURCES_PER_DESTINATION_TO_REPORT = 10;
+       MAX_SCC_SIZE = 50;
+}
+HDL_SETTINGS
+{
+       VERILOG_INPUT_VERSION = VERILOG_2001;
+       ENABLE_IP_DEBUG = OFF;
+       VHDL_INPUT_VERSION = VHDL93;
+       VHDL_SHOW_LMF_MAPPING_MESSAGES = OFF;
+}
+PROJECT_INFO(usrp_sounder)
+{
+       ORIGINAL_QUARTUS_VERSION = 3.0;
+       PROJECT_CREATION_TIME_DATE = "00:14:04  JULY 13, 2003";
+       LAST_QUARTUS_VERSION = 3.0;
+       SHOW_REGISTRATION_MESSAGE = ON;
+       USER_LIBRARIES = "h:\\gnuradio\\trunk\\usrp\\fpga\\megacells";
+}
+THIRD_PARTY_EDA_TOOLS(usrp_sounder)
+{
+       EDA_DESIGN_ENTRY_SYNTHESIS_TOOL = "<NONE>";
+       EDA_SIMULATION_TOOL = "<NONE>";
+       EDA_TIMING_ANALYSIS_TOOL = "<NONE>";
+       EDA_BOARD_DESIGN_TOOL = "<NONE>";
+       EDA_FORMAL_VERIFICATION_TOOL = "<NONE>";
+       EDA_RESYNTHESIS_TOOL = "<NONE>";
+}
+EDA_TOOL_SETTINGS(eda_design_synthesis)
+{
+       EDA_INPUT_GND_NAME = GND;
+       EDA_INPUT_VCC_NAME = VCC;
+       EDA_SHOW_LMF_MAPPING_MESSAGES = OFF;
+       EDA_RUN_TOOL_AUTOMATICALLY = OFF;
+       EDA_INPUT_DATA_FORMAT = EDIF;
+       EDA_OUTPUT_DATA_FORMAT = NONE;
+       USE_GENERATED_PHYSICAL_CONSTRAINTS = ON;
+       RESYNTHESIS_PHYSICAL_SYNTHESIS = NORMAL;
+       RESYNTHESIS_OPTIMIZATION_EFFORT = NORMAL;
+       RESYNTHESIS_RETIMING = FULL;
+}
+EDA_TOOL_SETTINGS(eda_simulation)
+{
+       EDA_INCLUDE_VHDL_CONFIGURATION_DECLARATION = OFF;
+       EDA_TRUNCATE_LONG_HIERARCHY_PATHS = OFF;
+       EDA_MAINTAIN_DESIGN_HIERARCHY = OFF;
+       EDA_WRITE_DEVICE_CONTROL_PORTS = OFF;
+       EDA_GENERATE_FUNCTIONAL_NETLIST = OFF;
+       EDA_FLATTEN_BUSES = OFF;
+       EDA_MAP_ILLEGAL_CHARACTERS = OFF;
+       EDA_EXCALIBUR_ATOMS_AS_SINGLE_STRIPE = OFF;
+       EDA_RUN_TOOL_AUTOMATICALLY = OFF;
+       EDA_OUTPUT_DATA_FORMAT = NONE;
+       USE_GENERATED_PHYSICAL_CONSTRAINTS = ON;
+       RESYNTHESIS_PHYSICAL_SYNTHESIS = NORMAL;
+       RESYNTHESIS_OPTIMIZATION_EFFORT = NORMAL;
+       RESYNTHESIS_RETIMING = FULL;
+}
+EDA_TOOL_SETTINGS(eda_timing_analysis)
+{
+       EDA_INCLUDE_VHDL_CONFIGURATION_DECLARATION = OFF;
+       EDA_TRUNCATE_LONG_HIERARCHY_PATHS = OFF;
+       EDA_MAINTAIN_DESIGN_HIERARCHY = OFF;
+       EDA_WRITE_DEVICE_CONTROL_PORTS = OFF;
+       EDA_GENERATE_FUNCTIONAL_NETLIST = OFF;
+       EDA_FLATTEN_BUSES = OFF;
+       EDA_MAP_ILLEGAL_CHARACTERS = OFF;
+       EDA_EXCALIBUR_ATOMS_AS_SINGLE_STRIPE = OFF;
+       EDA_RUN_TOOL_AUTOMATICALLY = OFF;
+       EDA_OUTPUT_DATA_FORMAT = NONE;
+       EDA_LAUNCH_CMD_LINE_TOOL = OFF;
+       USE_GENERATED_PHYSICAL_CONSTRAINTS = ON;
+       RESYNTHESIS_PHYSICAL_SYNTHESIS = NORMAL;
+       RESYNTHESIS_OPTIMIZATION_EFFORT = NORMAL;
+       RESYNTHESIS_RETIMING = FULL;
+}
+EDA_TOOL_SETTINGS(eda_board_design)
+{
+       EDA_INCLUDE_VHDL_CONFIGURATION_DECLARATION = OFF;
+       EDA_TRUNCATE_LONG_HIERARCHY_PATHS = OFF;
+       EDA_MAINTAIN_DESIGN_HIERARCHY = OFF;
+       EDA_WRITE_DEVICE_CONTROL_PORTS = OFF;
+       EDA_GENERATE_FUNCTIONAL_NETLIST = OFF;
+       EDA_FLATTEN_BUSES = OFF;
+       EDA_MAP_ILLEGAL_CHARACTERS = OFF;
+       EDA_EXCALIBUR_ATOMS_AS_SINGLE_STRIPE = OFF;
+       EDA_RUN_TOOL_AUTOMATICALLY = OFF;
+       EDA_OUTPUT_DATA_FORMAT = NONE;
+       USE_GENERATED_PHYSICAL_CONSTRAINTS = ON;
+       RESYNTHESIS_PHYSICAL_SYNTHESIS = NORMAL;
+       RESYNTHESIS_OPTIMIZATION_EFFORT = NORMAL;
+       RESYNTHESIS_RETIMING = FULL;
+}
+EDA_TOOL_SETTINGS(eda_formal_verification)
+{
+       EDA_INCLUDE_VHDL_CONFIGURATION_DECLARATION = OFF;
+       EDA_TRUNCATE_LONG_HIERARCHY_PATHS = OFF;
+       EDA_MAINTAIN_DESIGN_HIERARCHY = OFF;
+       EDA_WRITE_DEVICE_CONTROL_PORTS = OFF;
+       EDA_GENERATE_FUNCTIONAL_NETLIST = OFF;
+       EDA_FLATTEN_BUSES = OFF;
+       EDA_MAP_ILLEGAL_CHARACTERS = OFF;
+       EDA_EXCALIBUR_ATOMS_AS_SINGLE_STRIPE = OFF;
+       EDA_RUN_TOOL_AUTOMATICALLY = OFF;
+       EDA_OUTPUT_DATA_FORMAT = NONE;
+       USE_GENERATED_PHYSICAL_CONSTRAINTS = ON;
+       RESYNTHESIS_PHYSICAL_SYNTHESIS = NORMAL;
+       RESYNTHESIS_OPTIMIZATION_EFFORT = NORMAL;
+       RESYNTHESIS_RETIMING = FULL;
+}
+EDA_TOOL_SETTINGS(eda_palace)
+{
+       EDA_INCLUDE_VHDL_CONFIGURATION_DECLARATION = OFF;
+       EDA_TRUNCATE_LONG_HIERARCHY_PATHS = OFF;
+       EDA_MAINTAIN_DESIGN_HIERARCHY = OFF;
+       EDA_WRITE_DEVICE_CONTROL_PORTS = OFF;
+       EDA_GENERATE_FUNCTIONAL_NETLIST = OFF;
+       EDA_FLATTEN_BUSES = OFF;
+       EDA_MAP_ILLEGAL_CHARACTERS = OFF;
+       EDA_EXCALIBUR_ATOMS_AS_SINGLE_STRIPE = OFF;
+       EDA_RUN_TOOL_AUTOMATICALLY = OFF;
+       EDA_OUTPUT_DATA_FORMAT = NONE;
+       RESYNTHESIS_RETIMING = FULL;
+       RESYNTHESIS_PHYSICAL_SYNTHESIS = NORMAL;
+       RESYNTHESIS_OPTIMIZATION_EFFORT = NORMAL;
+       USE_GENERATED_PHYSICAL_CONSTRAINTS = ON;
+}
+CLOCK(clk_120mhz)
+{
+       FMAX_REQUIREMENT = "120.0 MHz";
+       INCLUDE_EXTERNAL_PIN_DELAYS_IN_FMAX_CALCULATIONS = OFF;
+       DUTY_CYCLE = 50;
+       DIVIDE_BASE_CLOCK_PERIOD_BY = 1;
+       MULTIPLY_BASE_CLOCK_PERIOD_BY = 1;
+       INVERT_BASE_CLOCK = OFF;
+}
+CLOCK(usbclk)
+{
+       FMAX_REQUIREMENT = "48.0 MHz";
+       INCLUDE_EXTERNAL_PIN_DELAYS_IN_FMAX_CALCULATIONS = OFF;
+       DUTY_CYCLE = 50;
+       DIVIDE_BASE_CLOCK_PERIOD_BY = 1;
+       MULTIPLY_BASE_CLOCK_PERIOD_BY = 1;
+       INVERT_BASE_CLOCK = OFF;
+}
+CLOCK(SCLK)
+{
+       FMAX_REQUIREMENT = "1.0 MHz";
+       INCLUDE_EXTERNAL_PIN_DELAYS_IN_FMAX_CALCULATIONS = OFF;
+       DUTY_CYCLE = 50;
+       DIVIDE_BASE_CLOCK_PERIOD_BY = 1;
+       MULTIPLY_BASE_CLOCK_PERIOD_BY = 1;
+       INVERT_BASE_CLOCK = OFF;
+}
+CLOCK(adclk0)
+{
+       FMAX_REQUIREMENT = "60.0 MHz";
+       INCLUDE_EXTERNAL_PIN_DELAYS_IN_FMAX_CALCULATIONS = OFF;
+       DUTY_CYCLE = 50;
+       DIVIDE_BASE_CLOCK_PERIOD_BY = 1;
+       MULTIPLY_BASE_CLOCK_PERIOD_BY = 1;
+       INVERT_BASE_CLOCK = OFF;
+}
+CLOCK(adclk1)
+{
+       FMAX_REQUIREMENT = "60.0 MHz";
+       INCLUDE_EXTERNAL_PIN_DELAYS_IN_FMAX_CALCULATIONS = OFF;
+       DUTY_CYCLE = 50;
+       DIVIDE_BASE_CLOCK_PERIOD_BY = 1;
+       MULTIPLY_BASE_CLOCK_PERIOD_BY = 1;
+       INVERT_BASE_CLOCK = OFF;
+}

Deleted: 
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/top/usrp_sounder.qpf

Copied: 
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/top/usrp_sounder.qpf 
(from rev 5117, gnuradio/trunk/gr-sounder/src/fpga/top/usrp_sounder.qpf)
===================================================================
--- 
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/top/usrp_sounder.qpf 
                            (rev 0)
+++ 
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/top/usrp_sounder.qpf 
    2007-04-26 02:05:03 UTC (rev 5119)
@@ -0,0 +1,29 @@
+# Copyright (C) 1991-2004 Altera Corporation
+# Any  megafunction  design,  and related netlist (encrypted  or  decrypted),
+# support information,  device programming or simulation file,  and any other
+# associated  documentation or information  provided by  Altera  or a partner
+# under  Altera's   Megafunction   Partnership   Program  may  be  used  only
+# to program  PLD  devices (but not masked  PLD  devices) from  Altera.   Any
+# other  use  of such  megafunction  design,  netlist,  support  information,
+# device programming or simulation file,  or any other  related documentation
+# or information  is prohibited  for  any  other purpose,  including, but not
+# limited to  modification,  reverse engineering,  de-compiling, or use  with
+# any other  silicon devices,  unless such use is  explicitly  licensed under
+# a separate agreement with  Altera  or a megafunction partner.  Title to the
+# intellectual property,  including patents,  copyrights,  trademarks,  trade
+# secrets,  or maskworks,  embodied in any such megafunction design, netlist,
+# support  information,  device programming or simulation file,  or any other
+# related documentation or information provided by  Altera  or a megafunction
+# partner, remains with Altera, the megafunction partner, or their respective
+# licensors. No other licenses, including any licenses needed under any third
+# party's intellectual property, are provided herein.
+
+
+
+QUARTUS_VERSION = "7.0"
+DATE = "09:00:00  April 17, 2007"
+
+
+# Active Revisions
+
+PROJECT_REVISION = "usrp_sounder"

Deleted: 
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/top/usrp_sounder.qsf

Copied: 
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/top/usrp_sounder.qsf 
(from rev 5117, gnuradio/trunk/gr-sounder/src/fpga/top/usrp_sounder.qsf)
===================================================================
--- 
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/top/usrp_sounder.qsf 
                            (rev 0)
+++ 
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/top/usrp_sounder.qsf 
    2007-04-26 02:05:03 UTC (rev 5119)
@@ -0,0 +1,392 @@
+# Copyright (C) 1991-2005 Altera Corporation
+# Your use of Altera Corporation's design tools, logic functions 
+# and other software and tools, and its AMPP partner logic       
+# functions, and any output files any of the foregoing           
+# (including device programming or simulation files), and any    
+# associated documentation or information are expressly subject  
+# to the terms and conditions of the Altera Program License      
+# Subscription Agreement, Altera MegaCore Function License       
+# Agreement, or other applicable license agreement, including,   
+# without limitation, that your use is for the sole purpose of   
+# programming logic devices manufactured by Altera and sold by   
+# Altera or its authorized distributors.  Please refer to the    
+# applicable agreement for further details.
+
+
+# The default values for assignments are stored in the file
+#              usrp_sounder_assignment_defaults.qdf
+# If this file doesn't exist, and for assignments not listed, see file
+#              assignment_defaults.qdf
+
+# Altera recommends that you do not modify this file. This
+# file is updated automatically by the Quartus II software
+# and any changes you make may be lost or overwritten.
+
+
+# Project-Wide Assignments
+# ========================
+set_global_assignment -name ORIGINAL_QUARTUS_VERSION 3.0
+set_global_assignment -name PROJECT_CREATION_TIME_DATE "00:14:04  JULY 13, 
2003"
+set_global_assignment -name LAST_QUARTUS_VERSION 7.0
+
+# Pin & Location Assignments
+# ==========================
+set_global_assignment -name RESERVE_PIN "AS INPUT TRI-STATED"
+set_location_assignment PIN_29 -to SCLK
+set_location_assignment PIN_117 -to SDI
+set_location_assignment PIN_28 -to usbclk
+set_location_assignment PIN_107 -to usbctl[0]
+set_location_assignment PIN_106 -to usbctl[1]
+set_location_assignment PIN_105 -to usbctl[2]
+set_location_assignment PIN_100 -to usbdata[0]
+set_location_assignment PIN_84 -to usbdata[10]
+set_location_assignment PIN_83 -to usbdata[11]
+set_location_assignment PIN_82 -to usbdata[12]
+set_location_assignment PIN_79 -to usbdata[13]
+set_location_assignment PIN_78 -to usbdata[14]
+set_location_assignment PIN_77 -to usbdata[15]
+set_location_assignment PIN_99 -to usbdata[1]
+set_location_assignment PIN_98 -to usbdata[2]
+set_location_assignment PIN_95 -to usbdata[3]
+set_location_assignment PIN_94 -to usbdata[4]
+set_location_assignment PIN_93 -to usbdata[5]
+set_location_assignment PIN_88 -to usbdata[6]
+set_location_assignment PIN_87 -to usbdata[7]
+set_location_assignment PIN_86 -to usbdata[8]
+set_location_assignment PIN_85 -to usbdata[9]
+set_location_assignment PIN_104 -to usbrdy[0]
+set_location_assignment PIN_101 -to usbrdy[1]
+set_location_assignment PIN_76 -to FX2_1
+set_location_assignment PIN_75 -to FX2_2
+set_location_assignment PIN_74 -to FX2_3
+set_location_assignment PIN_116 -to io_rx_a[0]
+set_location_assignment PIN_115 -to io_rx_a[1]
+set_location_assignment PIN_114 -to io_rx_a[2]
+set_location_assignment PIN_113 -to io_rx_a[3]
+set_location_assignment PIN_108 -to io_rx_a[4]
+set_location_assignment PIN_195 -to io_rx_a[5]
+set_location_assignment PIN_196 -to io_rx_a[6]
+set_location_assignment PIN_197 -to io_rx_a[7]
+set_location_assignment PIN_200 -to io_rx_a[8]
+set_location_assignment PIN_201 -to io_rx_a[9]
+set_location_assignment PIN_202 -to io_rx_a[10]
+set_location_assignment PIN_203 -to io_rx_a[11]
+set_location_assignment PIN_206 -to io_rx_a[12]
+set_location_assignment PIN_207 -to io_rx_a[13]
+set_location_assignment PIN_208 -to io_rx_a[14]
+set_location_assignment PIN_214 -to io_rx_b[0]
+set_location_assignment PIN_215 -to io_rx_b[1]
+set_location_assignment PIN_216 -to io_rx_b[2]
+set_location_assignment PIN_217 -to io_rx_b[3]
+set_location_assignment PIN_218 -to io_rx_b[4]
+set_location_assignment PIN_219 -to io_rx_b[5]
+set_location_assignment PIN_222 -to io_rx_b[6]
+set_location_assignment PIN_223 -to io_rx_b[7]
+set_location_assignment PIN_224 -to io_rx_b[8]
+set_location_assignment PIN_225 -to io_rx_b[9]
+set_location_assignment PIN_226 -to io_rx_b[10]
+set_location_assignment PIN_227 -to io_rx_b[11]
+set_location_assignment PIN_228 -to io_rx_b[12]
+set_location_assignment PIN_233 -to io_rx_b[13]
+set_location_assignment PIN_234 -to io_rx_b[14]
+set_location_assignment PIN_175 -to io_tx_a[0]
+set_location_assignment PIN_176 -to io_tx_a[1]
+set_location_assignment PIN_177 -to io_tx_a[2]
+set_location_assignment PIN_178 -to io_tx_a[3]
+set_location_assignment PIN_179 -to io_tx_a[4]
+set_location_assignment PIN_180 -to io_tx_a[5]
+set_location_assignment PIN_181 -to io_tx_a[6]
+set_location_assignment PIN_182 -to io_tx_a[7]
+set_location_assignment PIN_183 -to io_tx_a[8]
+set_location_assignment PIN_184 -to io_tx_a[9]
+set_location_assignment PIN_185 -to io_tx_a[10]
+set_location_assignment PIN_186 -to io_tx_a[11]
+set_location_assignment PIN_187 -to io_tx_a[12]
+set_location_assignment PIN_188 -to io_tx_a[13]
+set_location_assignment PIN_193 -to io_tx_a[14]
+set_location_assignment PIN_73 -to io_tx_b[0]
+set_location_assignment PIN_68 -to io_tx_b[1]
+set_location_assignment PIN_67 -to io_tx_b[2]
+set_location_assignment PIN_66 -to io_tx_b[3]
+set_location_assignment PIN_65 -to io_tx_b[4]
+set_location_assignment PIN_64 -to io_tx_b[5]
+set_location_assignment PIN_63 -to io_tx_b[6]
+set_location_assignment PIN_62 -to io_tx_b[7]
+set_location_assignment PIN_61 -to io_tx_b[8]
+set_location_assignment PIN_60 -to io_tx_b[9]
+set_location_assignment PIN_59 -to io_tx_b[10]
+set_location_assignment PIN_58 -to io_tx_b[11]
+set_location_assignment PIN_57 -to io_tx_b[12]
+set_location_assignment PIN_56 -to io_tx_b[13]
+set_location_assignment PIN_55 -to io_tx_b[14]
+set_location_assignment PIN_152 -to master_clk
+set_location_assignment PIN_144 -to rx_a_a[0]
+set_location_assignment PIN_143 -to rx_a_a[1]
+set_location_assignment PIN_141 -to rx_a_a[2]
+set_location_assignment PIN_140 -to rx_a_a[3]
+set_location_assignment PIN_139 -to rx_a_a[4]
+set_location_assignment PIN_138 -to rx_a_a[5]
+set_location_assignment PIN_137 -to rx_a_a[6]
+set_location_assignment PIN_136 -to rx_a_a[7]
+set_location_assignment PIN_135 -to rx_a_a[8]
+set_location_assignment PIN_134 -to rx_a_a[9]
+set_location_assignment PIN_133 -to rx_a_a[10]
+set_location_assignment PIN_132 -to rx_a_a[11]
+set_location_assignment PIN_23 -to rx_a_b[0]
+set_location_assignment PIN_21 -to rx_a_b[1]
+set_location_assignment PIN_20 -to rx_a_b[2]
+set_location_assignment PIN_19 -to rx_a_b[3]
+set_location_assignment PIN_18 -to rx_a_b[4]
+set_location_assignment PIN_17 -to rx_a_b[5]
+set_location_assignment PIN_16 -to rx_a_b[6]
+set_location_assignment PIN_15 -to rx_a_b[7]
+set_location_assignment PIN_14 -to rx_a_b[8]
+set_location_assignment PIN_13 -to rx_a_b[9]
+set_location_assignment PIN_12 -to rx_a_b[10]
+set_location_assignment PIN_11 -to rx_a_b[11]
+set_location_assignment PIN_131 -to rx_b_a[0]
+set_location_assignment PIN_128 -to rx_b_a[1]
+set_location_assignment PIN_127 -to rx_b_a[2]
+set_location_assignment PIN_126 -to rx_b_a[3]
+set_location_assignment PIN_125 -to rx_b_a[4]
+set_location_assignment PIN_124 -to rx_b_a[5]
+set_location_assignment PIN_123 -to rx_b_a[6]
+set_location_assignment PIN_122 -to rx_b_a[7]
+set_location_assignment PIN_121 -to rx_b_a[8]
+set_location_assignment PIN_120 -to rx_b_a[9]
+set_location_assignment PIN_119 -to rx_b_a[10]
+set_location_assignment PIN_118 -to rx_b_a[11]
+set_location_assignment PIN_8 -to rx_b_b[0]
+set_location_assignment PIN_7 -to rx_b_b[1]
+set_location_assignment PIN_6 -to rx_b_b[2]
+set_location_assignment PIN_5 -to rx_b_b[3]
+set_location_assignment PIN_4 -to rx_b_b[4]
+set_location_assignment PIN_3 -to rx_b_b[5]
+set_location_assignment PIN_2 -to rx_b_b[6]
+set_location_assignment PIN_240 -to rx_b_b[7]
+set_location_assignment PIN_239 -to rx_b_b[8]
+set_location_assignment PIN_238 -to rx_b_b[9]
+set_location_assignment PIN_237 -to rx_b_b[10]
+set_location_assignment PIN_236 -to rx_b_b[11]
+set_location_assignment PIN_156 -to SDO
+set_location_assignment PIN_153 -to SEN_FPGA
+set_location_assignment PIN_159 -to tx_a[0]
+set_location_assignment PIN_160 -to tx_a[1]
+set_location_assignment PIN_161 -to tx_a[2]
+set_location_assignment PIN_162 -to tx_a[3]
+set_location_assignment PIN_163 -to tx_a[4]
+set_location_assignment PIN_164 -to tx_a[5]
+set_location_assignment PIN_165 -to tx_a[6]
+set_location_assignment PIN_166 -to tx_a[7]
+set_location_assignment PIN_167 -to tx_a[8]
+set_location_assignment PIN_168 -to tx_a[9]
+set_location_assignment PIN_169 -to tx_a[10]
+set_location_assignment PIN_170 -to tx_a[11]
+set_location_assignment PIN_173 -to tx_a[12]
+set_location_assignment PIN_174 -to tx_a[13]
+set_location_assignment PIN_38 -to tx_b[0]
+set_location_assignment PIN_39 -to tx_b[1]
+set_location_assignment PIN_41 -to tx_b[2]
+set_location_assignment PIN_42 -to tx_b[3]
+set_location_assignment PIN_43 -to tx_b[4]
+set_location_assignment PIN_44 -to tx_b[5]
+set_location_assignment PIN_45 -to tx_b[6]
+set_location_assignment PIN_46 -to tx_b[7]
+set_location_assignment PIN_47 -to tx_b[8]
+set_location_assignment PIN_48 -to tx_b[9]
+set_location_assignment PIN_49 -to tx_b[10]
+set_location_assignment PIN_50 -to tx_b[11]
+set_location_assignment PIN_53 -to tx_b[12]
+set_location_assignment PIN_54 -to tx_b[13]
+set_location_assignment PIN_158 -to TXSYNC_A
+set_location_assignment PIN_37 -to TXSYNC_B
+set_location_assignment PIN_235 -to io_rx_b[15]
+set_location_assignment PIN_24 -to io_tx_b[15]
+set_location_assignment PIN_213 -to io_rx_a[15]
+set_location_assignment PIN_194 -to io_tx_a[15]
+set_location_assignment PIN_1 -to MYSTERY_SIGNAL
+
+# Timing Assignments
+# ==================
+set_global_assignment -name INCLUDE_EXTERNAL_PIN_DELAYS_IN_FMAX_CALCULATIONS 
OFF
+
+# Analysis & Synthesis Assignments
+# ================================
+set_global_assignment -name SAVE_DISK_SPACE OFF
+set_global_assignment -name DEVICE_FILTER_PACKAGE "ANY QFP"
+set_global_assignment -name DEVICE_FILTER_PIN_COUNT 240
+set_global_assignment -name EDA_DESIGN_ENTRY_SYNTHESIS_TOOL "<None>"
+set_global_assignment -name FAMILY Cyclone
+set_global_assignment -name CYCLONE_OPTIMIZATION_TECHNIQUE BALANCED
+set_global_assignment -name STRATIX_OPTIMIZATION_TECHNIQUE SPEED
+set_global_assignment -name APEX20K_OPTIMIZATION_TECHNIQUE SPEED
+set_global_assignment -name TOP_LEVEL_ENTITY usrp_sounder
+set_global_assignment -name VHDL_SHOW_LMF_MAPPING_MESSAGES OFF
+set_global_assignment -name USER_LIBRARIES 
"h:\\gnuradio\\trunk\\usrp\\fpga\\megacells"
+set_global_assignment -name AUTO_ENABLE_SMART_COMPILE ON
+
+# Fitter Assignments
+# ==================
+set_global_assignment -name DEVICE EP1C12Q240C8
+set_global_assignment -name CYCLONE_CONFIGURATION_SCHEME "PASSIVE SERIAL"
+set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED"
+set_global_assignment -name OPTIMIZE_HOLD_TIMING OFF
+set_global_assignment -name OPTIMIZE_TIMING "NORMAL COMPILATION"
+set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC OFF
+set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION OFF
+set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_RETIMING OFF
+set_global_assignment -name IO_PLACEMENT_OPTIMIZATION OFF
+set_global_assignment -name PHYSICAL_SYNTHESIS_EFFORT NORMAL
+set_global_assignment -name INC_PLC_MODE OFF
+set_global_assignment -name ROUTING_BACK_ANNOTATION_MODE OFF
+set_instance_assignment -name IO_STANDARD LVTTL -to usbdata[12]
+set_global_assignment -name STRATIX_DEVICE_IO_STANDARD LVTTL
+set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1
+
+# Timing Analysis Assignments
+# ===========================
+set_global_assignment -name MAX_SCC_SIZE 50
+
+# EDA Netlist Writer Assignments
+# ==============================
+set_global_assignment -name EDA_SIMULATION_TOOL "<None>"
+set_global_assignment -name EDA_TIMING_ANALYSIS_TOOL "<NONE>"
+set_global_assignment -name EDA_BOARD_DESIGN_TOOL "<NONE>"
+set_global_assignment -name EDA_FORMAL_VERIFICATION_TOOL "<NONE>"
+set_global_assignment -name EDA_RESYNTHESIS_TOOL "<NONE>"
+
+# Assembler Assignments
+# =====================
+set_global_assignment -name USE_CONFIGURATION_DEVICE OFF
+set_global_assignment -name GENERATE_RBF_FILE ON
+set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "AS INPUT 
TRI-STATED"
+set_global_assignment -name AUTO_RESTART_CONFIGURATION OFF
+
+# Simulator Assignments
+# =====================
+set_global_assignment -name START_TIME "0 ns"
+set_global_assignment -name GLITCH_INTERVAL "1 ns"
+
+# Design Assistant Assignments
+# ============================
+set_global_assignment -name DRC_REPORT_TOP_FANOUT OFF
+set_global_assignment -name DRC_REPORT_FANOUT_EXCEEDING OFF
+set_global_assignment -name ASSG_CAT OFF
+set_global_assignment -name ASSG_RULE_MISSING_FMAX OFF
+set_global_assignment -name ASSG_RULE_MISSING_TIMING OFF
+set_global_assignment -name NONSYNCHSTRUCT_RULE_ASYN_RAM OFF
+set_global_assignment -name CLK_CAT OFF
+set_global_assignment -name CLK_RULE_COMB_CLOCK OFF
+set_global_assignment -name CLK_RULE_INV_CLOCK OFF
+set_global_assignment -name CLK_RULE_GATING_SCHEME OFF
+set_global_assignment -name CLK_RULE_INPINS_CLKNET OFF
+set_global_assignment -name CLK_RULE_CLKNET_CLKSPINES OFF
+set_global_assignment -name CLK_RULE_MIX_EDGES OFF
+set_global_assignment -name RESET_CAT OFF
+set_global_assignment -name RESET_RULE_INPINS_RESETNET OFF
+set_global_assignment -name RESET_RULE_UNSYNCH_EXRESET OFF
+set_global_assignment -name RESET_RULE_IMSYNCH_EXRESET OFF
+set_global_assignment -name RESET_RULE_COMB_ASYNCH_RESET OFF
+set_global_assignment -name RESET_RULE_UNSYNCH_ASYNCH_DOMAIN OFF
+set_global_assignment -name RESET_RULE_IMSYNCH_ASYNCH_DOMAIN OFF
+set_global_assignment -name TIMING_CAT OFF
+set_global_assignment -name TIMING_RULE_SHIFT_REG OFF
+set_global_assignment -name TIMING_RULE_COIN_CLKEDGE OFF
+set_global_assignment -name NONSYNCHSTRUCT_RULE_COMB_DRIVES_RAM_WE OFF
+set_global_assignment -name NONSYNCHSTRUCT_CAT OFF
+set_global_assignment -name NONSYNCHSTRUCT_RULE_COMBLOOP OFF
+set_global_assignment -name NONSYNCHSTRUCT_RULE_REG_LOOP OFF
+set_global_assignment -name NONSYNCHSTRUCT_RULE_DELAY_CHAIN OFF
+set_global_assignment -name NONSYNCHSTRUCT_RULE_RIPPLE_CLK OFF
+set_global_assignment -name NONSYNCHSTRUCT_RULE_ILLEGAL_PULSE_GEN OFF
+set_global_assignment -name NONSYNCHSTRUCT_RULE_MULTI_VIBRATOR OFF
+set_global_assignment -name NONSYNCHSTRUCT_RULE_SRLATCH OFF
+set_global_assignment -name NONSYNCHSTRUCT_RULE_LATCH_UNIDENTIFIED OFF
+set_global_assignment -name SIGNALRACE_CAT OFF
+set_global_assignment -name ACLK_CAT OFF
+set_global_assignment -name ACLK_RULE_NO_SZER_ACLK_DOMAIN OFF
+set_global_assignment -name ACLK_RULE_SZER_BTW_ACLK_DOMAIN OFF
+set_global_assignment -name ACLK_RULE_IMSZER_ADOMAIN OFF
+set_global_assignment -name HCPY_CAT OFF
+set_global_assignment -name HCPY_VREF_PINS OFF
+
+# SignalTap II Assignments
+# ========================
+set_global_assignment -name HUB_ENTITY_NAME SLD_HUB
+set_global_assignment -name HUB_INSTANCE_NAME SLD_HUB_INST
+set_global_assignment -name ENABLE_SIGNALTAP OFF
+
+# LogicLock Region Assignments
+# ============================
+set_global_assignment -name LOGICLOCK_INCREMENTAL_COMPILE_ASSIGNMENT OFF
+
+# -----------------
+# start CLOCK(SCLK)
+
+       # Timing Assignments
+       # ==================
+set_global_assignment -name DUTY_CYCLE 50 -section_id SCLK
+set_global_assignment -name FMAX_REQUIREMENT "1 MHz" -section_id SCLK
+
+# end CLOCK(SCLK)
+# ---------------
+
+# -----------------------
+# start CLOCK(master_clk)
+
+       # Timing Assignments
+       # ==================
+set_global_assignment -name DUTY_CYCLE 50 -section_id master_clk
+set_global_assignment -name FMAX_REQUIREMENT "64 MHz" -section_id master_clk
+
+# end CLOCK(master_clk)
+# ---------------------
+
+# -------------------
+# start CLOCK(usbclk)
+
+       # Timing Assignments
+       # ==================
+set_global_assignment -name DUTY_CYCLE 50 -section_id usbclk
+set_global_assignment -name FMAX_REQUIREMENT "48 MHz" -section_id usbclk
+
+# end CLOCK(usbclk)
+# -----------------
+
+# ----------------------
+# start ENTITY(usrp_sounder)
+
+       # Timing Assignments
+       # ==================
+set_instance_assignment -name CLOCK_SETTINGS SCLK -to SCLK
+set_instance_assignment -name CLOCK_SETTINGS usbclk -to usbclk
+set_instance_assignment -name CLOCK_SETTINGS master_clk -to master_clk
+
+# end ENTITY(usrp_sounder)
+# --------------------
+
+set_instance_assignment -name PARTITION_HIERARCHY no_file_for_top_partition 
-to | -section_id Top
+set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
+
+set_global_assignment -name VERILOG_FILE 
../../../../usrp/fpga/sdr_lib/sign_extend.v
+set_global_assignment -name VERILOG_FILE ../lib/lfsr_constants.v
+set_global_assignment -name VERILOG_FILE ../lib/sounder.v
+set_global_assignment -name VERILOG_FILE ../lib/lfsr.v
+set_global_assignment -name VERILOG_FILE 
../../../../usrp/fpga/sdr_lib/atr_delay.v
+set_global_assignment -name VERILOG_FILE ../lib/dac_interface.v
+set_global_assignment -name VERILOG_FILE ../lib/dacpll.v
+set_global_assignment -name VERILOG_FILE ../lib/sounder_rx.v
+set_global_assignment -name VERILOG_FILE ../lib/sounder_tx.v
+set_global_assignment -name VERILOG_FILE 
../../../../usrp/fpga/sdr_lib/rx_buffer.v
+set_global_assignment -name VERILOG_FILE 
../../../../usrp/fpga/sdr_lib/setting_reg.v
+set_global_assignment -name VERILOG_FILE 
../../../../usrp/fpga/sdr_lib/strobe_gen.v
+set_global_assignment -name VERILOG_FILE 
../../../../usrp/fpga/sdr_lib/clk_divider.v
+set_global_assignment -name VERILOG_FILE 
../../../../usrp/fpga/sdr_lib/bidir_reg.v
+set_global_assignment -name VERILOG_FILE 
../../../../usrp/fpga/sdr_lib/adc_interface.v
+set_global_assignment -name VERILOG_FILE 
../../../../usrp/fpga/sdr_lib/gen_sync.v
+set_global_assignment -name VERILOG_FILE 
../../../../usrp/fpga/sdr_lib/io_pins.v
+set_global_assignment -name VERILOG_FILE 
../../../../usrp/fpga/sdr_lib/master_control.v
+set_global_assignment -name VERILOG_FILE ../../../../usrp/fpga/sdr_lib/rssi.v
+set_global_assignment -name VERILOG_FILE 
../../../../usrp/fpga/sdr_lib/rx_dcoffset.v
+set_global_assignment -name VERILOG_FILE 
../../../../usrp/fpga/sdr_lib/serial_io.v
+set_global_assignment -name VERILOG_FILE usrp_sounder.v
\ No newline at end of file

Deleted: 
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/top/usrp_sounder.v

Copied: 
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/top/usrp_sounder.v 
(from rev 5117, gnuradio/trunk/gr-sounder/src/fpga/top/usrp_sounder.v)
===================================================================
--- 
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/top/usrp_sounder.v   
                            (rev 0)
+++ 
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/fpga/top/usrp_sounder.v   
    2007-04-26 02:05:03 UTC (rev 5119)
@@ -0,0 +1,199 @@
+// -*- verilog -*-
+//
+//  USRP - Universal Software Radio Peripheral
+//
+//  Copyright (C) 2003,2004 Matt Ettus
+//  Copyright (C) 2007 Corgan Enterprises LLC
+//
+//  This program is free software; you can redistribute it and/or modify
+//  it under the terms of the GNU General Public License as published by
+//  the Free Software Foundation; either version 2 of the License, or
+//  (at your option) any later version.
+//
+//  This program is distributed in the hope that it will be useful,
+//  but WITHOUT ANY WARRANTY; without even the implied warranty of
+//  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+//  GNU General Public License for more details.
+//
+//  You should have received a copy of the GNU General Public License
+//  along with this program; if not, write to the Free Software
+//  Foundation, Inc., 51 Franklin Street, Boston, MA  02110-1301  USA
+//
+
+// Top level module for a full setup with DUCs and DDCs
+
+// Define DEBUG_OWNS_IO_PINS if we're using the daughterboard i/o pins
+// for debugging info.  NB, This can kill the m'board and/or d'board if you
+// have anything except basic d'boards installed.
+
+// Uncomment the following to include optional circuitry
+
+module usrp_sounder
+(output MYSTERY_SIGNAL,
+ input master_clk,
+ input SCLK,
+ input SDI,
+ inout SDO,
+ input SEN_FPGA,
+
+ input FX2_1,
+ output FX2_2,
+ output FX2_3,
+ 
+ input wire [11:0] rx_a_a,
+ input wire [11:0] rx_b_a,
+ input wire [11:0] rx_a_b,
+ input wire [11:0] rx_b_b,
+
+ output wire [13:0] tx_a,
+ output wire [13:0] tx_b,
+
+ output wire TXSYNC_A,
+ output wire TXSYNC_B,
+ 
+  // USB interface
+ input usbclk,
+ input wire [2:0] usbctl,
+ output wire [1:0] usbrdy,
+ inout [15:0] usbdata,  // NB Careful, inout
+
+ // These are the general purpose i/o's that go to the daughterboard slots
+ inout wire [15:0] io_tx_a,
+ inout wire [15:0] io_tx_b,
+ inout wire [15:0] io_rx_a,
+ inout wire [15:0] io_rx_b
+ );    
+   wire [15:0] debugdata,debugctrl;
+   assign MYSTERY_SIGNAL = 1'b0;
+   
+   wire clk64;
+   
+   // wire WR = usbctl[0];
+   wire RD = usbctl[1];
+   wire OE = usbctl[2];
+
+   wire have_pkt_rdy;
+   assign usbrdy[0] = 1'b0; // have_space;
+   assign usbrdy[1] = have_pkt_rdy;
+
+   wire   tx_underrun, rx_overrun;    
+   wire   clear_status = FX2_1;
+   assign FX2_2 = rx_overrun;
+   assign FX2_3 = 1'b0; // tx_underrun;
+      
+   wire [15:0] usbdata_out;
+   
+   wire [3:0]  rx_numchan;
+   wire        enable_tx, enable_rx;
+   wire        tx_dsp_reset, rx_dsp_reset, tx_bus_reset, rx_bus_reset;
+   
+   // Tri-state bus macro
+   bustri bustri( .data(usbdata_out),.enabledt(OE),.tridata(usbdata) );
+
+   assign      clk64 = master_clk;
+
+   // TX
+   wire        tx_sample_strobe;
+   wire        tx_empty;
+   
+   wire        serial_strobe;
+   wire [6:0]  serial_addr;
+   wire [31:0] serial_data;
+
+   
////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////
+   // Transmit Side
+   
+   wire [15:0] tx_i, tx_q;
+   wire [15:0] tx_dac;
+   
+   dac_interface dac(.clk_i(clk64),.rst_i(tx_dsp_reset),.ena_i(enable_tx),
+                    .strobe_i(tx_sample_strobe),.tx_i_i(tx_i),.tx_q_i(tx_q),
+                    .tx_data_o(tx_dac),.tx_sync_o(TXSYNC_A));
+
+   assign tx_a = tx_dac[15:2];
+
+   // Wedge DAC #2 at zero
+   assign TXSYNC_B = 1'b0;
+   assign tx_b = 14'b0;
+   
+   
/////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////
+   // Receive Side
+   wire        rx_sample_strobe, rx_strobe;
+   wire [15:0] rx_adc0_i, rx_adc0_q;
+   wire [15:0] rx_buf_i, rx_buf_q;
+   
+   adc_interface 
adc_interface(.clock(clk64),.reset(rx_dsp_reset),.enable(enable_rx),
+                              
.serial_addr(serial_addr),.serial_data(serial_data),.serial_strobe(serial_strobe),
+                              
.rx_a_a(rx_a_a),.rx_b_a(rx_b_a),.rx_a_b(),.rx_b_b(),
+                              .rssi_0(),.rssi_1(),.rssi_2(),.rssi_3(),
+                              .ddc0_in_i(rx_adc0_i),.ddc0_in_q(rx_adc0_q),
+                              .ddc1_in_i(),.ddc1_in_q(),
+                              .ddc2_in_i(),.ddc2_in_q(),
+                              
.ddc3_in_i(),.ddc3_in_q(),.rx_numchan(rx_numchan) );
+
+   rx_buffer rx_buffer
+     ( .usbclk(usbclk),.bus_reset(rx_bus_reset),.reset(rx_dsp_reset),
+       .reset_regs(rx_dsp_reset),
+       
.usbdata(usbdata_out),.RD(RD),.have_pkt_rdy(have_pkt_rdy),.rx_overrun(rx_overrun),
+       .channels(rx_numchan),
+       .ch_0(rx_buf_i),.ch_1(rx_buf_q),
+       .ch_2(),.ch_3(),
+       .ch_4(),.ch_5(),
+       .ch_6(),.ch_7(),
+       .rxclk(clk64),.rxstrobe(rx_strobe),
+       .clear_status(clear_status),
+       
.serial_addr(serial_addr),.serial_data(serial_data),.serial_strobe(serial_strobe),
+       .debugbus() );
+   
+
+   
///////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////
+   // Top level application
+
+   sounder sounder
+     ( 
.clk_i(clk64),.saddr_i(serial_addr),.sdata_i(serial_data),.s_strobe_i(serial_strobe),
+       
.tx_rst_i(tx_dsp_reset),.tx_enable_i(enable_tx),.tx_strobe_i(tx_sample_strobe),
+       .tx_dac_i_o(tx_i),.tx_dac_q_o(tx_q),
+       
.rx_rst_i(rx_dsp_reset),.rx_enable_i(enable_rx),.rx_strobe_i(rx_sample_strobe),.rx_strobe_o(rx_strobe),
+       
.rx_adc_i_i(rx_adc0_i),.rx_adc_q_i(rx_adc0_q),.rx_imp_i_o(rx_buf_i),.rx_imp_q_o(rx_buf_q)
+       );
+
+   
+   
///////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////
+   // Control Functions
+
+   wire [31:0] capabilities;
+   assign capabilities[7]   = 0;  // `TX_CAP_HB;
+   assign capabilities[6:4] = 2;  // `TX_CAP_NCHAN;
+   assign capabilities[3]   = 0;  // `RX_CAP_HB;
+   assign capabilities[2:0] = 2;  // `RX_CAP_NCHAN;
+
+   serial_io serial_io
+     ( .master_clk(clk64),.serial_clock(SCLK),.serial_data_in(SDI),
+       .enable(SEN_FPGA),.reset(1'b0),.serial_data_out(SDO),
+       
.serial_addr(serial_addr),.serial_data(serial_data),.serial_strobe(serial_strobe),
+       .readback_0(),.readback_1(),.readback_2(capabilities),.readback_3(),
+       .readback_4(),.readback_5(),.readback_6(),.readback_7()
+       );
+
+   wire [15:0] reg_0,reg_1,reg_2,reg_3;
+   master_control master_control
+     ( .master_clk(clk64),.usbclk(usbclk),
+       
.serial_addr(serial_addr),.serial_data(serial_data),.serial_strobe(serial_strobe),
+       .tx_bus_reset(tx_bus_reset),.rx_bus_reset(rx_bus_reset),
+       .tx_dsp_reset(tx_dsp_reset),.rx_dsp_reset(rx_dsp_reset),
+       .enable_tx(enable_tx),.enable_rx(enable_rx),
+       .interp_rate(),.decim_rate(),
+       .tx_sample_strobe(tx_sample_strobe),.strobe_interp(),
+       .rx_sample_strobe(rx_sample_strobe),.strobe_decim(),
+       .tx_empty(tx_empty),
+       .debug_0(),.debug_1(),
+       .debug_2(),.debug_3(),
+       .reg_0(reg_0),.reg_1(reg_1),.reg_2(reg_2),.reg_3(reg_3) );
+   
+   io_pins io_pins
+     (.io_0(io_tx_a),.io_1(io_rx_a),.io_2(io_tx_b),.io_3(io_rx_b),
+      .reg_0(reg_0),.reg_1(reg_1),.reg_2(reg_2),.reg_3(reg_3),
+      .clock(clk64),.rx_reset(rx_dsp_reset),.tx_reset(tx_dsp_reset),
+      
.serial_addr(serial_addr),.serial_data(serial_data),.serial_strobe(serial_strobe));
+   
+endmodule // usrp_sounder

Copied: gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/lib (from rev 
5117, gnuradio/trunk/gr-sounder/src/lib)


Property changes on: gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/lib
___________________________________________________________________
Name: svn:ignore
   + Makefile
Makefile.in


Deleted: gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/lib/Makefile.am

Copied: gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/lib/Makefile.am 
(from rev 5117, gnuradio/trunk/gr-sounder/src/lib/Makefile.am)
===================================================================
--- gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/lib/Makefile.am       
                        (rev 0)
+++ gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/lib/Makefile.am       
2007-04-26 02:05:03 UTC (rev 5119)
@@ -0,0 +1,22 @@
+#
+# Copyright 2007 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 2, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+include $(top_srcdir)/Makefile.common

Copied: gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/python (from rev 
5117, gnuradio/trunk/gr-sounder/src/python)


Property changes on: 
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/python
___________________________________________________________________
Name: svn:ignore
   + Makefile
Makefile.in
run_tests
*.pyc


Deleted: 
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/python/Makefile.am

Copied: 
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/python/Makefile.am (from 
rev 5117, gnuradio/trunk/gr-sounder/src/python/Makefile.am)
===================================================================
--- gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/python/Makefile.am    
                        (rev 0)
+++ gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/python/Makefile.am    
2007-04-26 02:05:03 UTC (rev 5119)
@@ -0,0 +1,32 @@
+#
+# Copyright 2007 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 2, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+include $(top_srcdir)/Makefile.common
+
+EXTRA_DIST =           \
+       usrp_sounder.py \
+       qa_nothing.py   \
+       run_tests.in
+
+bin_SCRIPTS =          \
+       usrp_sounder.py
+
+MOSTLYCLEANFILES = *~ *.pyc *.pyo

Deleted: 
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/python/qa_nothing.py

Copied: 
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/python/qa_nothing.py 
(from rev 5117, gnuradio/trunk/gr-sounder/src/python/qa_nothing.py)
===================================================================

Deleted: 
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/python/run_tests.in

Copied: 
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/python/run_tests.in (from 
rev 5117, gnuradio/trunk/gr-sounder/src/python/run_tests.in)
===================================================================
--- gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/python/run_tests.in   
                        (rev 0)
+++ gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/python/run_tests.in   
2007-04-26 02:05:03 UTC (rev 5119)
@@ -0,0 +1,10 @@
+#!/bin/sh
+
+# 1st parameter is absolute path to component source directory
+# 2nd parameter is absolute path to component build directory
+# 3rd parameter is path to Python QA directory
+
address@hidden@/run_tests.sh \
+    @abs_top_srcdir@/gr-sounder \
+    @abs_top_builddir@/gr-sounder \
+    @srcdir@

Deleted: 
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/python/usrp_sounder.py

Copied: 
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/python/usrp_sounder.py 
(from rev 5117, gnuradio/trunk/gr-sounder/src/python/usrp_sounder.py)
===================================================================
--- 
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/python/usrp_sounder.py    
                            (rev 0)
+++ 
gnuradio/branches/developers/n4hy/ofdm/gr-sounder/src/python/usrp_sounder.py    
    2007-04-26 02:05:03 UTC (rev 5119)
@@ -0,0 +1,130 @@
+#!/usr/bin/env python
+#
+# Copyright 2007 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 2, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+from gnuradio import gr, usrp
+from gnuradio import eng_notation
+from gnuradio.eng_option import eng_option
+from optparse import OptionParser
+import sys, time
+
+n2s = eng_notation.num_to_str
+
+# Set to 0 for 32 MHz tx clock, 1 for 64 MHz tx clock
+# Must match config.vh in FPGA code
+TX_RATE_MAX = 0
+_tx_freq_divisor = 32e6*(TX_RATE_MAX+1)
+
+class sounder_tx:
+    def __init__(self, frequency, degree, loopback):
+       self.trans = usrp.sink_s(fpga_filename='usrp_sounder.rbf')
+        self.subdev_spec = usrp.pick_tx_subdevice(self.trans)
+        self.subdev = usrp.selected_subdev(self.trans, self.subdev_spec)
+        self.trans.tune(0, self.subdev, frequency)
+        self.set_degree(degree);
+        self.set_loopback(loopback)
+            
+    def turn_on(self):
+       self.trans.start()
+       
+    def turn_off(self):
+       self.trans.stop()
+
+    def set_degree(self, value):
+        return self.trans._write_fpga_reg(usrp.FR_USER_0, value);
+
+    def set_loopback(self, value):
+        return self.trans._write_fpga_reg(usrp.FR_USER_1, value==True);
+
+class sounder_rx:
+    def __init__(self, frequency, degree, samples):
+        self.fg = gr.flow_graph()
+       self.rcvr = usrp.source_s(fpga_filename='usrp_sounder.rbf', 
decim_rate=8)
+        self.subdev_spec = usrp.pick_rx_subdevice(self.rcvr)
+        self.subdev = usrp.selected_subdev(self.rcvr, self.subdev_spec)
+        self.rcvr.tune(0, self.subdev, frequency)
+        self.set_degree(degree);
+       self.sink = gr.file_sink(gr.sizeof_short, "output.dat")
+
+       if samples >= 0:
+           self.head = gr.head(gr.sizeof_short, 2*samples*gr.sizeof_short)
+           self.fg.connect(self.rcvr, self.head, self.sink)
+       else:
+           self.fg.connect(self.rcvr, self.sink)
+
+    def receive(self):
+       self.fg.run()
+       
+    def set_degree(self, value):
+        return self.rcvr._write_fpga_reg(usrp.FR_USER_0, value);
+
+def main():
+    parser = OptionParser(option_class=eng_option)
+    parser.add_option("-f", "--frequency", type="eng_float", default=0.0,
+                      help="set frequency to FREQ in Hz, default is %default", 
metavar="FREQ")
+
+    parser.add_option("-t", "--transmit", action="store_true", default=False,
+                      help="enable sounding transmitter")
+
+    parser.add_option("-r", "--receive", action="store_true", default=False,
+                      help="enable sounding receiver")
+
+    parser.add_option("-d", "--degree", type="int", default=16,
+                      help="set souding sequence degree (len=2^degree-1), 
default is %default")
+
+    parser.add_option("-n", "--samples", type="int", default=-1,
+                      help="number of samples to capture on receive, default 
is infinite")
+
+    parser.add_option("-l", "--loopback", action="store_true", default=False,
+                      help="enable digital loopback, default is disabled")
+
+    (options, args) = parser.parse_args()
+
+    if len(args) != 0 or not (options.transmit | options.receive):
+        parser.print_help()
+        sys.exit(1)
+
+    print "Using PN code degree of", options.degree, "length", 
2**options.degree-1
+    print "Sounding frequency range is", n2s(options.frequency-16e6), "to", 
n2s(options.frequency+16e6)
+    
+    if (options.transmit):
+       print "Enabling sounder transmitter."
+       if (options.loopback):
+           print "Enabling digital loopback."
+        tx = sounder_tx(options.frequency, options.degree, options.loopback)
+       tx.turn_on()
+       
+    try:
+        if (options.receive):
+           print "Enabling sounder receiver."
+           rx = sounder_rx(options.frequency, options.degree, options.samples)
+           rx.receive()
+       else:
+           if (options.transmit):
+               while (True): time.sleep(1.0)
+
+    except KeyboardInterrupt:
+       if (options.transmit):
+           tx.turn_off()
+
+       
+if __name__ == "__main__":
+    main()

Modified: gnuradio/branches/developers/n4hy/ofdm/usrp/host/lib/usrp_basic.cc
===================================================================
--- gnuradio/branches/developers/n4hy/ofdm/usrp/host/lib/usrp_basic.cc  
2007-04-25 23:44:24 UTC (rev 5118)
+++ gnuradio/branches/developers/n4hy/ofdm/usrp/host/lib/usrp_basic.cc  
2007-04-26 02:05:03 UTC (rev 5119)
@@ -923,6 +923,8 @@
   _write_fpga_reg(FR_ATR_MASK_2, 0);
   _write_fpga_reg(FR_ATR_TXVAL_2, 0);
   _write_fpga_reg(FR_ATR_RXVAL_2, 0);
+  _write_fpga_reg(FR_ATR_TX_DELAY, 0);
+  _write_fpga_reg(FR_ATR_RX_DELAY, 0);
 }
 
 





reply via email to

[Prev in Thread] Current Thread [Next in Thread]