commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] r5915 - gnuradio/branches/developers/matt/u2f/control_


From: matt
Subject: [Commit-gnuradio] r5915 - gnuradio/branches/developers/matt/u2f/control_lib
Date: Thu, 5 Jul 2007 17:34:35 -0600 (MDT)

Author: matt
Date: 2007-07-05 17:34:34 -0600 (Thu, 05 Jul 2007)
New Revision: 5915

Modified:
   gnuradio/branches/developers/matt/u2f/control_lib/buffer_pool.v
Log:
set right address, address a corner case at startup


Modified: gnuradio/branches/developers/matt/u2f/control_lib/buffer_pool.v
===================================================================
--- gnuradio/branches/developers/matt/u2f/control_lib/buffer_pool.v     
2007-07-05 19:19:31 UTC (rev 5914)
+++ gnuradio/branches/developers/matt/u2f/control_lib/buffer_pool.v     
2007-07-05 23:34:34 UTC (rev 5915)
@@ -93,7 +93,7 @@
    generate
       for(i=0;i<8;i=i+1)
        begin : gen_buffer
-          setting_reg #(.my_addr(i)) 
+          setting_reg #(.my_addr(64+i)) 
             
sreg(.clk(stream_clk),.rst(stream_rst),.strobe(set_stb),.addr(set_addr),.in(set_data),
                  
.out({dummy[i],clear[i],read_port[i],write_port[i],write_go[i],read_go[i],step[i],ll[i],fl[i]}),.changed(changed[i]));
           ram_2port #(.DWIDTH(32),.AWIDTH(9)) buffer
@@ -167,7 +167,7 @@
    always @(posedge stream_clk)
      if(stream_rst)
        for(k=0;k<4;k=k+1)
-        wr_src[k] <= 0;
+        wr_src[k] <= 7;
      else
        for(k=0;k<4;k=k+1)
         for(j=0;j<8;j=j+1)
@@ -219,7 +219,7 @@
    always @(posedge stream_clk)
      if(stream_rst)
        for(k=0;k<4;k=k+1)
-        rd_src[k] <= 0;
+        rd_src[k] <= 7;
      else
        for(k=0;k<4;k=k+1)
         for(j=0;j<8;j=j+1)





reply via email to

[Prev in Thread] Current Thread [Next in Thread]