commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] r9095 - usrp2/trunk/fpga/sdr_lib


From: matt
Subject: [Commit-gnuradio] r9095 - usrp2/trunk/fpga/sdr_lib
Date: Thu, 31 Jul 2008 20:19:59 -0600 (MDT)

Author: matt
Date: 2008-07-31 20:19:57 -0600 (Thu, 31 Jul 2008)
New Revision: 9095

Modified:
   usrp2/trunk/fpga/sdr_lib/rx_control.v
Log:
pass fifo level information back out to the top level


Modified: usrp2/trunk/fpga/sdr_lib/rx_control.v
===================================================================
--- usrp2/trunk/fpga/sdr_lib/rx_control.v       2008-08-01 02:19:07 UTC (rev 
9094)
+++ usrp2/trunk/fpga/sdr_lib/rx_control.v       2008-08-01 02:19:57 UTC (rev 
9095)
@@ -23,6 +23,11 @@
      output run,
      input strobe,
 
+     // FIFO Levels
+     output [15:0] fifo_occupied,
+     output fifo_full,
+     output fifo_empty,
+     
      // Debug
      output [31:0] debug_rx
      );
@@ -93,12 +98,15 @@
    assign     read = wr_write_o | (~empty & ~sop_o);   // FIXME  what if there 
is junk between packets?
 
    wire [33:0] fifo_line;
+   
    // Internal FIFO, size 9 is 2K, size 10 is 4K
    cascadefifo2 #(.WIDTH(34),.SIZE(FIFOSIZE)) rxfifo
      (.clk(clk),.rst(rst),.clear(clear_overrun),
       .datain(fifo_line), .write(write), .full(full),
-      .dataout({sop_o,eop_o,wr_dat_o}), .read(read), .empty(empty)
-      );
+      .dataout({sop_o,eop_o,wr_dat_o}), .read(read), .empty(empty),
+      .space(),.occupied(fifo_occupied) );
+   assign      fifo_full = full;
+   assign      fifo_empty = empty;
 
    // Internal FIFO to DSP interface
    reg [22:0] lines_left;





reply via email to

[Prev in Thread] Current Thread [Next in Thread]