commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] r9579 - gnuradio/branches/developers/ets/inband/usrp/f


From: ets
Subject: [Commit-gnuradio] r9579 - gnuradio/branches/developers/ets/inband/usrp/fpga/inband_lib
Date: Mon, 15 Sep 2008 09:48:32 -0600 (MDT)

Author: ets
Date: 2008-09-15 09:48:31 -0600 (Mon, 15 Sep 2008)
New Revision: 9579

Modified:
   gnuradio/branches/developers/ets/inband/usrp/fpga/inband_lib/packet_builder.v
Log:
fixed packet_builder: pkt ready asserted one clock too early

Modified: 
gnuradio/branches/developers/ets/inband/usrp/fpga/inband_lib/packet_builder.v
===================================================================
--- 
gnuradio/branches/developers/ets/inband/usrp/fpga/inband_lib/packet_builder.v   
    2008-09-15 15:36:04 UTC (rev 9578)
+++ 
gnuradio/branches/developers/ets/inband/usrp/fpga/inband_lib/packet_builder.v   
    2008-09-15 15:48:31 UTC (rev 9579)
@@ -83,7 +83,7 @@
        //as the channel selector needs it.
        //We stay set until rden is cleared
        always @(posedge clk) begin
-               if ( read_count == ( RD_LAST - 1 ) )
+               if ( read_count == ( RD_LAST ) )
                        packet_complete <= 1'b1;
                else if (!rden) 
                        packet_complete <= 1'b0;
@@ -155,6 +155,10 @@
                        RD_TIMESTAMP1:  packet_data <= ts1;
                        RD_TIMESTAMP2:  packet_data <= ts2;
                        default:                packet_data <= chan_rd ? 
chan_data : PAD_VALUE;
+                       //debug: payload data
+                       //default:              
+                       //      if (read_count[0]) packet_data <= chan_data;
+                       //      else packet_data <= {chan_data[7:0],read_count};
                endcase  
 
        end





reply via email to

[Prev in Thread] Current Thread [Next in Thread]