octave-maintainers
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

Re: compiling development sources


From: Carlo de Falco
Subject: Re: compiling development sources
Date: Thu, 11 Feb 2010 10:52:41 +0100


On 11 Feb 2010, at 10:21, Jaroslav Hajek wrote:


Great. Thanks for the impulse. You may try to vary the random seed at line 64

     data seed /4*3/
-->
     data seed /4*13/

the "4*" prefix is needed (it's not multiplication, just an ugly
Fortran feature), any integer will do as the second. Or you can supply
4 different integers separated by commas.
Prior to doing so, please apply this patch:

Index: test/Makefile
===================================================================
--- test/Makefile       (revision 22)
+++ test/Makefile       (revision 23)
@@ -31,6 +31,7 @@
        ./report_results $(OUTS)

$(OUTS): %.out: %
+       echo > $@
        ./$< | tee $@

$(PROGS): % : %.f utils.o ../libqrupdate.a


or delete the *.out files after each try, otherwise a segfault may
escape your attention.
If you can't produce a failure this way, the problem is probably not
in qrupdate.
The next step i

OK, I used 'data seed /4*23/' and got some test failures, and even in tests that do pass some residuals are not very small
c.



. ./report_results tqr1up.out tqrinc.out tqrdec.out tqrshc.out tqrinr.out tqrder.out tch1up.out tch1dn.out tchinx.out tchdex.out tchshx.out tlu1up.out tlup1up.out

 testing QR rank-1 update routines.
 All residual errors are expected to be small.

 sqr1up test (full factorization):
      residual error =              0.143051147461E-05       PASS
orth. residual error =              0.476837158203E-06       PASS
 dqr1up test (full factorization):
      residual error =              0.169309011255E-14       PASS
orth. residual error =              0.888178419700E-15       PASS
 cqr1up test (full factorization):
      residual error =              0.138754057843E-05       PASS
orth. residual error =              0.476837158203E-06       PASS
 zqr1up test (full factorization):
      residual error =              0.828888562088E-14       PASS
orth. residual error =              0.888178419700E-15       PASS
 sqr1up test (economized factorization):
      residual error =              0.107288360596E-05       PASS
orth. residual error =              0.476837158203E-06       PASS
 dqr1up test (economized factorization):
      residual error =              0.351801920928E-14       PASS
orth. residual error =              0.999200722163E-15       PASS
 cqr1up test (economized factorization):
      residual error =              0.134317247102E-05       PASS
orth. residual error =              0.655651092529E-06       PASS
 zqr1up test (economized factorization):
      residual error =              0.780529030497E-14       PASS
orth. residual error =              0.177635683940E-14       PASS
 sqr1up test (rows < columns):
      residual error =              0.113248825073E-05       PASS
orth. residual error =              0.476837158203E-06       PASS
 dqr1up test (rows < columns):
      residual error =              0.313464532109E-14       PASS
orth. residual error =              0.999200722163E-15       PASS
 cqr1up test (rows < columns):
      residual error =              0.113487158160E-05       PASS
orth. residual error =              0.417232513428E-06       PASS
 zqr1up test (rows < columns):
      residual error =              0.797716999371E-14       PASS
orth. residual error =              0.177635683940E-14       PASS
----------------------------------------------------------------------
 total:     PASSED  24     FAILED   0


 testing QR column insert routines.
 All residual errors are expected to be small.

 sqrinc test (full factorization):
      residual error =              0.916421413422E-06       PASS
orth. residual error =              0.476837158203E-06       PASS
 dqrinc test (full factorization):
      residual error =              0.156819002228E-14       PASS
orth. residual error =              0.888178419700E-15       PASS
 cqrinc test (full factorization):
      residual error =              0.171065471477E-05       PASS
orth. residual error =              0.476837158203E-06       PASS
 zqrinc test (full factorization):
      residual error =              0.444167265547E-14       PASS
orth. residual error =              0.999200722163E-15       PASS
 sqrinc test (economized factorization):
      residual error =              0.113807618618E-05       PASS
orth. residual error =              0.406056642532E-06       PASS
 dqrinc test (economized factorization):
      residual error =              0.169916164472E-14       PASS
orth. residual error =              0.999200722163E-15       PASS
 cqrinc test (economized factorization):
      residual error =              0.162243941304E-05       PASS
orth. residual error =              0.417232513428E-06       PASS
 zqrinc test (economized factorization):
      residual error =              0.541233724505E-14       PASS
orth. residual error =              0.888178419700E-15       PASS
----------------------------------------------------------------------
 total:     PASSED  16     FAILED   0


 testing QR column delete routines.
 All residual errors are expected to be small.

 sqrdec test (full factorization):
      residual error =              0.946223735809E-06       PASS
orth. residual error =              0.417232513428E-06       PASS
 dqrdec test (full factorization):
      residual error =              0.238697950294E-14       PASS
orth. residual error =              0.888178419700E-15       PASS
 cqrdec test (full factorization):
      residual error =              0.154354745519E-05       PASS
orth. residual error =              0.953674316406E-06       PASS
 zqrdec test (full factorization):
      residual error =              0.411455674670E-14       PASS
orth. residual error =              0.888178419700E-15       PASS
 sqrdec test (economized factorization):
      residual error =              0.953674316406E-06       PASS
orth. residual error =              0.357627868652E-06       PASS
 dqrdec test (economized factorization):
      residual error =              0.544009282066E-14       PASS
orth. residual error =              0.111022302463E-14       PASS
 cqrdec test (economized factorization):
      residual error =              0.133540095248E-05       PASS
orth. residual error =              0.596046447754E-06       PASS
 zqrdec test (economized factorization):
      residual error =              0.706789019610E-14       PASS
orth. residual error =              0.111022302463E-14       PASS
----------------------------------------------------------------------
 total:     PASSED  16     FAILED   0


 testing QR column shift routines.
 All residual errors are expected to be small.

 sqrshc test (left shift, full factorization):
      residual error =              0.931322574615E-06       PASS
orth. residual error =              0.476837158203E-06       PASS
 dqrshc test (left shift, full factorization):
      residual error =              0.238697950294E-14       PASS
orth. residual error =              0.111022302463E-14       PASS
 cqrshc test (left shift, full factorization):
      residual error =              0.175183731699E-05       PASS
orth. residual error =              0.476837158203E-06       PASS
 zqrshc test (left shift, full factorization):
      residual error =              0.546905024264E-14       PASS
orth. residual error =              0.888178419700E-15       PASS
 sqrshc test (right shift, economized factorization):
      residual error =              0.759959220886E-06       PASS
orth. residual error =              0.476837158203E-06       PASS
 dqrshc test (right shift, economized factorization):
      residual error =              0.136002320517E-14       PASS
orth. residual error =              0.666133814775E-15       PASS
 cqrshc test (right shift, economized factorization):
      residual error =              0.207137941288E-05       PASS
orth. residual error =              0.596046447754E-06       PASS
 zqrshc test (right shift, economized factorization):
      residual error =              0.477397917705E-14       PASS
orth. residual error =              0.888178419700E-15       PASS
----------------------------------------------------------------------
 total:     PASSED  16     FAILED   0


 testing QR row insert routines.
 All residual errors are expected to be small.

 sqrinr test (full factorization):
      residual error =              0.923871994019E-06       PASS
orth. residual error =              0.417232513428E-06       PASS
 dqrinr test (full factorization):
      residual error =              0.305311331772E-14       PASS
orth. residual error =              0.888178419700E-15       PASS
 cqrinr test (full factorization):
      residual error =              0.114224962999E-05       PASS
orth. residual error =              0.834465026855E-06       PASS
 zqrinr test (full factorization):
      residual error =              0.397637370046E-14       PASS
orth. residual error =              0.111022302463E-14       PASS
----------------------------------------------------------------------
 total:     PASSED   8     FAILED   0


 testing QR row delete routines.
 All residual errors are expected to be small.

 sqrder test (full factorization):
      residual error =              0.864267349243E-06       PASS
orth. residual error =              0.476837158203E-06       PASS
 dqrder test (full factorization):
      residual error =              0.230371277610E-14       PASS
orth. residual error =              0.888178419700E-15       PASS
 cqrder test (full factorization):
      residual error =              0.162689013905E-05       PASS
orth. residual error =              0.476837158203E-06       PASS
 zqrder test (full factorization):
      residual error =              0.408648422997E-14       PASS
orth. residual error =              0.111022302463E-14       PASS
----------------------------------------------------------------------
 total:     PASSED   8     FAILED   0


 testing Cholesky rank-1 update routines.
 All residual errors are expected to be small.

 sch1up test:
      residual error =              0.762939453125E-05       PASS
 dch1up test:
      residual error =              0.124344978758E-13       PASS
 cch1up test:
      residual error =              0.115189732242E-04       PASS
 zch1up test:
      residual error =              0.214316182792E-13       PASS
----------------------------------------------------------------------
 total:     PASSED   4     FAILED   0


 testing Cholesky rank-1 downdate routines.
 All residual errors are expected to be small.

 sch1dn test:
      residual error =              0.572204589844E-05       PASS
 dch1dn test:
      residual error =              0.888178419700E-14       PASS
 cch1dn test:
      residual error =              0.954865663516E-05       PASS
 zch1dn test:
      residual error =              0.284251786656E-13       PASS
----------------------------------------------------------------------
 total:     PASSED   4     FAILED   0


 testing Cholesky symmetric insert routines.
 All residual errors are expected to be small.

 schinx test:
      residual error =              0.476837158203E-05       PASS
 dchinx test:
      residual error =              0.799360577730E-14       PASS
 cchinx test:
      residual error =              0.152587890625E-04       PASS
 zchinx test:
      residual error =              0.142177919159E-13       PASS
----------------------------------------------------------------------
 total:     PASSED   4     FAILED   0


 testing Cholesky symmetric delete routines.
 All residual errors are expected to be small.

 schdex test:
      residual error =              0.476837158203E-05       PASS
 dchdex test:
      residual error =              0.888178419700E-14       PASS
 cchdex test:
      residual error =              0.114440917969E-04       PASS
 zchdex test:
      residual error =              0.142731681407E-13       PASS
----------------------------------------------------------------------
 total:     PASSED   4     FAILED   0


 testing QR column shift routines.
 All residual errors are expected to be small.

 schshx test (left shift):
      residual error =              0.476837158203E-05       PASS
 dchshx test (left shift):
      residual error =              0.710542735760E-14       PASS
 cchshx test (left shift):
      residual error =              0.114744743769E-04       PASS
 zchshx test (left shift):
      residual error =              0.177691186420E-13       PASS
 schshx test (right shift):
      residual error =              0.572204589844E-05       PASS
 dchshx test (right shift):
      residual error =              0.710542735760E-14       PASS
 cchshx test (right shift):
      residual error =              0.152587890625E-04       PASS
 zchshx test (right shift):
      residual error =              0.142731681407E-13       PASS
----------------------------------------------------------------------
 total:     PASSED   8     FAILED   0


 testing LU rank-1 update routines.
 All residual errors are expected to be small.

 slu1up test (rows > columns):
      residual error =              0.333786010742E-05       PASS
 dlu1up test (rows > columns):
      residual error =              0.177635683940E-14       PASS
 clu1up test (rows > columns):
      residual error =              0.408843607147E-05       PASS
 zlu1up test (rows > columns):
      residual error =              0.414813554445E-14       PASS
 slu1up test (rows < columns):
      residual error =              0.435113906860E-04       FAIL
 dlu1up test (rows < columns):
      residual error =              0.288657986403E-14       PASS
 clu1up test (rows < columns):
      residual error =              0.715255737305E-05       PASS
 zlu1up test (rows < columns):
      residual error =              0.513071060070E-14       PASS
----------------------------------------------------------------------
 total:     PASSED   7     FAILED   1


 testing pivoted LU rank-1 update routines.
 All residual errors are expected to be small.

 slup1up test (rows > columns):
      residual error =              0.452995300293E-05       PASS
 dlup1up test (rows > columns):
      residual error =              0.114352971536E-13       PASS
 clup1up test (rows > columns):
      residual error =              0.756099962018E-05       PASS
 zlup1up test (rows > columns):
      residual error =              0.949305609157E-14       PASS
 slup1up test (rows < columns):
      residual error =              0.374317169189E-04       FAIL
 dlup1up test (rows < columns):
      residual error =              0.142108547152E-13       PASS
 clup1up test (rows < columns):
      residual error =              0.682830977894E-05       PASS
 zlup1up test (rows < columns):
      residual error =              0.875880831122E-14       PASS
----------------------------------------------------------------------
 total:     PASSED   7     FAILED   1

 TOTAL:     PASSED 126     FAILED   2



reply via email to

[Prev in Thread] Current Thread [Next in Thread]