simulavr-devel
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Simulavr-devel] [bug #46339] no pull up in verilog simulation


From: Klaus Rudolph
Subject: [Simulavr-devel] [bug #46339] no pull up in verilog simulation
Date: Fri, 30 Oct 2015 18:51:14 +0000
User-agent: Mozilla/5.0 (X11; Fedora; Linux i686; rv:41.0) Gecko/20100101 Firefox/41.0

URL:
  <http://savannah.nongnu.org/bugs/?46339>

                 Summary: no pull up in verilog simulation
                 Project: Simulavr: an AVR simulator
            Submitted by: zfrdh
            Submitted on: Fr 30 Okt 2015 19:51:13 CET
                Category: Simulation
                Severity: 3 - Normal
              Item Group: None
                  Status: Confirmed
                 Privacy: Public
             Assigned to: zfrdh
        Originator Email: address@hidden
             Open/Closed: Open
         Discussion Lock: Any
       Component Version: simulavrxx

    _______________________________________________________

Details:

The verilog simulation did not allow to simulate a pull up from any avr pin.
This results in short circuit result if the pin drives with pull up against
another driver in verilog.




    _______________________________________________________

Reply to this item at:

  <http://savannah.nongnu.org/bugs/?46339>

_______________________________________________
  Nachricht gesendet von/durch Savannah
  http://savannah.nongnu.org/




reply via email to

[Prev in Thread] Current Thread [Next in Thread]