simulavr-devel
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Simulavr-devel] need help to fix some issues in build toolchain


From: Klaus Rudolph
Subject: [Simulavr-devel] need help to fix some issues in build toolchain
Date: Fri, 30 Oct 2015 22:41:19 +0100
User-agent: Mozilla/5.0 (X11; Linux i686; rv:38.0) Gecko/20100101 Thunderbird/38.3.0

I added today pull up support in simulavr for verilog. This feature can only be used with Icarus Verilog version v10 or higher.

As a result we can expect that users will install the new version in parallel with the old verilog version.

That will result in conflicts to find executable's and header files ( vpi_user.h ). Building simulavr works with manual setting the include path like

CPPFLAGS=-I/opt/verilog/v10/include/ ./configure --enable-tcl --enable-verilog

but this will result in wrong example/verilog execution.

The ./configure find the standard installation executables but avr.vpi is compiled against the new header.

It would be nice if someone can support a additional configure parameter to add the path to an additional verilog installation for header and executable.




Thanks!
 Klaus




reply via email to

[Prev in Thread] Current Thread [Next in Thread]