discuss-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

RE: [Discuss-gnuradio] Default FPGA I/O standard


From: Nirali Patel
Subject: RE: [Discuss-gnuradio] Default FPGA I/O standard
Date: Tue, 2 Oct 2007 17:46:58 -0500

Thanks everyone for the help and information. I also discovered that if you
want to increase the drive strength for any IO standard for the FPGA IO pins
it is possible through the Assignment Editor in Quartus II under Logic
Options by setting current_strength_new to <drive strength>

Thanks again for all the information.
Nirali
-----Original Message-----
From: address@hidden
[mailto:address@hidden On Behalf
Of Bahn William L Civ USAFA/DFCS
Sent: Tuesday, October 02, 2007 5:15 PM
To: address@hidden
Subject: RE: [Discuss-gnuradio] Default FPGA I/O standard


> -----Original Message-----
> From: address@hidden
> [mailto:address@hidden On Behalf
> Of Brian Padalino
> Sent: Monday, October 01, 2007 5:53 PM
> To: Matt Ettus
> Cc: address@hidden
> Subject: Re: [Discuss-gnuradio] Default FPGA I/O standard
>
> On 10/1/07, Matt Ettus <address@hidden> wrote:
> > I think 3.3V LVTTL and LVCMOS are really the same.
> >
> > Matt
>
> According to this:
>
>     http://www.interfacebus.com/voltage_LV_threshold.html
>
> They are, indeed, basically the same.
>
> Brian

They're the same only different.

For most purposes, the differences don't matter too much. The biggest
difference is in the output drive capability. LVTTL outputs are required to
be able to source/sink 2mA while remaining compliant while LVCMOS outputs
are only required to source/sink 100uA.

For those interested in the "official" word, refer to the actual JEDEC
standard:

http://www.jedec.org/download/search/jesd8c.pdf




_______________________________________________
Discuss-gnuradio mailing list
address@hidden
http://lists.gnu.org/mailman/listinfo/discuss-gnuradio






reply via email to

[Prev in Thread] Current Thread [Next in Thread]